2024/03/27 更新

写真b

イマイ マサシ
今井 雅
IMAI Masashi
所属
理工学研究科 研究部

学位

  • 博士(工学)

  • 修士(工学)

  • 学士(工学)

研究キーワード

  • 高信頼計算機システム開発

  • 非同期式回路設計

  • 計算機工学

  • 深層学習

  • ネットワークオンチップ

  • ディペンダブルコンピューティング

研究分野

  • ものづくり技術(機械・電気電子・化学工学) / 電子デバイス、電子機器

  • 情報通信 / 高性能計算

  • 情報通信 / 計算機システム

  • 情報通信 / 情報セキュリティ

  • 情報通信 / ウェブ情報学、サービス情報学

所属学協会

  • SASIMI運営委員会

  • 情報処理学会(IPSJ)

  • 電気学会 (IEEJ)

  • 電子情報通信学会 (IEICE)

  • 電気電子学会 (IEEE)

  • 電気学会

  • 電子情報通信学会

  • 情報処理学会

  • IEEE

▼全件表示

自己PR

  • (連携キーワード)

    ディペンダブルコンピューティングシステム(高信頼計算機システム)、システムオンチップ(System-on-Chip)、大域非同期局所同期システム(GALS:Globally-Asynchronous Locally-Synchronous)、ネットワークオンチップ(NoC:Network-on-Chip)、計算機アーキテクチャ、非同期式回路、低消費電力技術、セル設計、Webベースシステム・データベースシステム設計

    (研究概要)

    ディペンダブルコンピューティングシステム実現に関する研究。回路レベルからシステムレベルまで階層をまたいだ、よりよいシステム実現を目指した研究。

    (協力できる内容)

    連携キーワードに関する共同研究、講義・講演等。
    同期式回路の非同期式回路実現。

    (PR)

    安心安全に使用出来る計算機システムの実現に関して、回路レベルでは非同期式回路を中心に大域非同期局所同期システム設計、非同期式オンチップネットワーク設計に関する研究を行っている。アプリケーションレベルではWebベースのデータベースシステム設計、大学事務システムの設計・実装等を行ってきた。

論文

  • Energy Reduction of Health Monitoring Processor by Optimizing Supply and Back-Gate Voltages with Simulated Annealing

    Seria Kasai, Yamato Ishida, Fumiya Sano, Tomoya Akasaka, Ma\ sami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, Masashi Ima\ i, Atsushi Kurokawa, Toshiki Kanamoto

    Proc. SASIMI2024   227 - 232   2024年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Development of Tsugaru Dialect Dictionary Management System

    Ryota Sato, Masashi Imai

    Proc. SASIMI2024   254 - 259   2024年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Evaluation of FPGA Performance in a Cryogenic Environment

    Akimasa Saito, Masashi Imai

    Proc. SASIMI2024   244 - 249   2024年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Development of Snowfall Prediction System using X-band Weather Radar and Artificial Intelligence

    Atsushi Onodera, Masashi Imai

    Proc. SASIMI2024   84 - 85   2024年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Development of an Automatic Chronological Record Creation System Using Voice AI to Facilitate Information Aggregation and Sharing in the Event of a Disaster.

    Tsujiguchi T, Imai M, Kimura S, Koiwa T, Naraoka M, Hanada H, Yamanouchi K, Kashiwakura I, Ito K

    Disaster medicine and public health preparedness   17   e560   2023年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    PubMed

  • 同期式回路設計支援環境におけるMuller’s C-element の実装に関する一考察

    今井 雅

    電子情報通信学会技術研究報告 VLD2023-79   255 - 260   2023年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:単著  

  • 非同期式回路を用いた電源喪失対応 VLSI システムの実現

    今井

    電子情報通信学会技術研究報告   VLD2022-86   79 - 84   2023年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:単著  

  • Transformerを用いた津軽弁と共通語の双方向翻訳システムの構築

    新井田大輝, 今井

    情報処理学会東北支部研究報告   Vol.2022-7 ( No.4-4 )   2023年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 外れ値検知アルゴリズムによるハードウェアトロイ内包計算機に生じる誤りの検知

    葛西巧朗, 今井

    情報処理学会東北支部研究報告   Vol.2022-7 ( No.4-5 )   2023年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 低電圧環境に適した回路設計方式の比較

    坂本昴, 今井

    情報処理学会東北支部研究報告   Vol.2022-7 ( No.4-6 )   2023年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ハードウェアトロイの挿入された計算機が齎す誤りの検知と対策,

    葛西巧朗, 今井

    電子情報通信学会技術研究報告   VLD2022-55   206 - 211   2022年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • FPGA-SoMを用いたASIC試作チップ評価システムの構築

    今井雅, 吉瀬謙二, 米田友洋

    電子情報通信学会技術研究報告   VLD2022-19   1 - 6   2022年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Development of Text Translation System from Tsugaru Dialect into Common Japanese

    Taiki Niida, Masashi Imai

    163 - 167   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Development of Diagnosis-based Hardware Trojan Tolerate System

    Takuro Kasai, Masashi Imai

    Proc. SASIMI2022   196 - 197   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 津軽弁から共通語への変換システムの構築

    新井田大輝, 今井

    Proc. DAシンポジウム2022   195 - 200   2022年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 低電圧環境における同期式回路と非同期式回路の比較

    坂本昴, 今井

    Proc. DAシンポジウム2022   126 - 131   2022年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • パターン密度均一化に貢献するオンチップデカップリング容量セルの提案

    岡巧, 葛西瀬梨亜, 石田大和, 佐野文也, 今井雅, 金本俊幾

    Proc. DAシンポジウム2022   207 - 211   2022年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 特別講演「あなたの津軽弁を共通語に—弘大×AI×津軽弁の取り組み—」

    今井

    日本放射線看護学会誌   10 ( 1 )   9 - 12   2022年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:単著  

    CiNii Research

  • 低消費エネルギープロセッサのSoC物理設計

    葛西瀬梨亜, 畠山寛, 今井雅, 黒川敦, 金本俊幾

    情報処理学会東北支部研究報告   2021-6 ( 5-3 )   2022年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • An Energy Efficient Processor Applicable to Continuous SPO2 Monitoring

    Toshiki Kanamoto, Kan Hatakeyama, Seria Kasai, Masashi Imai, Atsushi Kurokawa, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara

    Proc. of GCCE,   1 - 2   2021年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Novel Circuit Structure of Basic Standard Cells against Glitches

    Masashi Imai

    Proc. ASYNC2021 Fresh idea track paper   2021年09月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:単著  

  • Prediction of Winter Precipitation from X-band Weather Radar Observations Using Deep Learning

    Mio Maeda, Akiyo Yatagai, Masashi Imai

    Proc. AOGS2021   2021年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Thermal design technology for non-low power hearables

    Kodai Matsuhashi, Koutaro Hachiya, Toshiki Kanamoto, Masashi Imai, and Atsushi Kurokawa

    Proc. SASIMI2021   2021年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Energy efficient RISC-V processor for portable sensor applications

    Kan Hatakeyama, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, Masashi Imai, Atsushi Kurokawa, Toshiki Kanamoto

    Proc. SASIMI2021   2021年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 人工知能による津軽弁の活用と津軽弁文化保存の取り組み

    今井雅, 杉山祐子, 松﨑正敏, 木立るり子, 井瀧千恵子, 新岡丈典, 辻口貴清, 柏倉幾郎

    情報処理学会東北支部研究報告   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ひろだい白神レーダー及び深層学習を利用した降雪量推定

    新田大輝, 谷田貝亜紀代, 今井

    情報処理学会東北支部研究報告   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • データシートを用いたトレンチ型IGBTの素子構造推定

    有馬大生, 宗形恒夫, 黒川敦, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 耐パルス性NiCr薄膜抵抗器のトリミング形状に対する熱的最適化

    有馬諒, 梶谷翔太, 伊澤敬太, 渡邊良祐, 青葉智寛, 黒川敦, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • TOFカメラを用いた魚体重推定

    及川大樹, 野村哲哉, 丹波澄雄, 黒川敦, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • LSI・パッケージ・ボード協調設計に向けたオンチップインピーダンス抽出

    岡巧, 今井雅, 黒川敦, 金本俊幾

    情報処理学会東北支部研究報告   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ヒアラブルデバイスの熱回路モデルと解析

    松橋功大, 今井雅, 金本俊幾, 黒川敦

    情報処理学会東北支部研究報告   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ひろだい白神レーダー及び深層学習を利用した降雪量推定

    新井田大輝, 谷田貝亜紀代, 今井

    情報処理学会東北支部研究報告   2020-6 ( 3-2 )   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 耐パルス性NiCr薄膜抵抗器のトリミング形状に対する熱的最適化

    有馬諒, 梶谷翔太, 伊澤敬太, 渡邊良祐, 青葉智寛, 黒川敦, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2020-6 ( 1-2 )   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 人工知能による津軽弁の活用と津軽弁文化保存の取り組み

    今井雅, 杉山祐子, 松﨑正敏, 木立るり子, 井瀧千恵子, 新岡丈典, 辻口貴清, 柏倉幾郎

    情報処理学会東北支部研究報告   2020-6 ( 3-1 )   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • データシートを用いたトレンチ型IGBTの素子構造推定

    有馬大生, 宗形恒夫, 黒川敦, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2020-6 ( 1-1 )   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 機械学習を用いたひろだい白神レーダによる冬季降雪量推定

    谷田貝亜紀代, 今井雅, 前田未央

    日本気象学会令和2年度東北地方調査研究会講演集   2020年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 機械学習を用いたひろだい白神レーダによる冬季降雪量推定

    谷田貝亜紀代, 今井雅, 前田未央

    日本気象学会令和2年度東北地方調査研究会   2020年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Asynchronous Building Blocks and Their Application for Ultra Low Energy Devices

    Imai Masashi

    IEICE Proceeding Series   74   89 - 92   2020年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:単著  

    CiNii Research

  • Asynchronous Building Blocks and Their Application for Ultra Low Energy Devices

    Masashi Imai

    Proc. NOLTA2020   2020年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:単著  

  • A simple yet precise capacitance estimation method for on-chip power delivery network towards EMC analysis

    Kanamoto Toshiki, Kasai Koki, Hatakeyama Kan, Kurokawa Atsushi, Nagase Tomoyuki, Imai Masashi

    IEICE Electronics Express   17 ( 14 )   20200198 - 20200198   2020年07月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Coarse Grained Versus Fine Grained Architectures for Asynchronous Reconfigurable Devices

    Tomohiro Yoneda, Masashi Imai

    Proc. ASYNC2020   2020年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Coarse Grained Versus Fine Grained Architectures for Asynchronous Reconfigurable Devices

    Tomohiro Yoneda, Masashi Imai

    Proc.ASYNC2020   102 - 110   2020年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • シミュレーションベースオンチップ電源容量抽出手法

    葛西孝己, 今井雅, 黒川敦, 金本俊幾

    情報処理学会東北支部研究報告   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • メンテナンスフリーセンサノード実現に向けた画像分析と消費電力低減の検討

    畑瀬拓実, 黒川敦, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • LPWA通信規格LoRaを用いたセンサノードの低消費電力通信の評価

    畠山寛, 丹波澄雄, 黒川敦, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • パワーモジュールの熱応力連成解析に向けたIGBT等価回路モデルに関する検討

    伊藤颯汰, 宗形恒夫, 黒川敦, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • LPWA通信規格LoRaを用いたセンサノードの低消費電力通信の評価

    畠山寛, 丹波澄雄, 黒川敦, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2019-6 ( 2-2 )   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • メンテナンスフリーセンサノード実現に向けた画像分析と消費電力低減の検討

    畑瀬拓実, 黒川敦, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2019-6 ( 2-3 )   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • パワーモジュールの熱応力連成解析に向けたIGBT等価回路モデルに関する検討

    伊藤颯汰, 宗形恒夫, 黒川敦, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2019-6 ( 2-1 )   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • シミュレーションベースオンチップ電源容量抽出手法

    葛西孝己, 今井雅, 黒川敦, 金本俊幾

    情報処理学会東北支部研究報告   2019-6 ( 1-1 )   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Quantitative Performance Comparison of Asynchronous and Synchronous Comparators

    Kyota Akimoto, Toshiki Kanamoto, Atsushi Kurokawa, Masashi Imai

    Proc. SASIMI2019   296 - 297   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Efficiency Investigation of Capacitors Mounted on Re-distribution Layers for FOWLP

    Koki Kasai, Atsushi Kurokawa, Masashi Imai, Toshiki Kanamoto

    Proc. SASIMI2019   176 - 179   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Thermal Modeling and Simulation of a Smart Wrist-worn Wearable Device

    Kodai Matsuhashi, Koutaro Hachiya, Toshiki Kanamoto, Masashi Imai, Atsushi Kurokawa

    Proc. SASIMI2019   138 - 143   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Efficiency Investigation of Capacitors Mounted on Re-distribution Layers for FOWLP

    Koki Kasai, Atsushi Kurokawa, Masashi Imai, Toshiki Kanamoto

    Proc. SASIMI2019   176 - 179   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Thermal Modeling and Simulation of a Smart Wrist-worn Wearable Device

    Kodai Matsuhashi, Koutaro Hachiya, Toshiki Kanamoto, Masashi Imai, Atsushi Kurokawa

    Proc. SASIMI2019   138 - 143   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Quantitative Performance Comparison of Asynchronous and Synchronous Comparators

    Kyota Akimoto, Toshiki Kanamoto, Atsushi Kurokawa, Masashi Imai

    Proc. SASIMI2019   296 - 297   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • A Single-Stage RISC-V Processor to Mitigate the Von Neumann Bottleneck

    Toshiki Kanamoto, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, Koki Kasai, Atsushi Kurokawa, Masashi Imai

    Proc. MWSCAS2019   1085 - 1088   2019年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • A Single-Stage RISC-V Processor to Mitigate the Von Neumann Bottleneck

    Toshiki Kanamoto, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, Koki Kasai, Atsushi Kurokawa, Masashi Imai

    Proc. MWSCAS2019   1085 - 1088   2019年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

    Web of Science

  • Hardware Trojan Insertion and Detection in Asynchronous Circuits

    Koutaro Inaba, Tomohiro Yoneda, Toshiki Kanamoto

    Proc. ASYNC2019   134 - 143   2019年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

    Web of Science

  • ASYNC2019

    Masashi Imai

    Proc. ASYNC2019   2019年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 湘南会議No.133

    Masashi Imai

    湘南会議No.133報告   2019年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Neural network-based 3D IC interconnect capacitance extraction

    Ryosuke Kasai, Koutaro Hachiya, Toshiki Kanamoto, Masashi Imai, and Atsushi Kurokawa

    Proc. ICCET2019   168 - 172   2019年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Neural network-based 3D IC interconnect capacitance extraction

    Ryosuke Kasai, Koutaro Hachiya, Toshiki Kanamoto, Masashi Imai, Atsushi Kurokawa

    Proc. ICCET2019   168 - 172   2019年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

    Web of Science

  • リストウェアラブルデバイスのベルト放熱効果

    岡本慎太郎, 松橋功大, 今井雅, 金本俊幾, 黒川敦

    電気学会 全国大会 講演論文集   32 - 32   2019年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ハードウェアトロイ無効化のための多重化システムの実装

    和島純也, 金本俊幾, 黒川敦, 今井

    情報処理学会東北支部研究報告   2018-9 ( No. B3-2 )   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • APHRODITEデータのDB化による品質管理およびweb上でのデータ公開について

    谷田貝亜紀代, 今井雅, 前田未央, 石田祐宣

    情報処理学会東北支部研究報告   2018-9 ( A2-3 )   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 遅延ばらつきを考慮した遅延線設計による束データ方式非同期式回路の高性能化

    赤坂親一郎, 金本俊幾, 黒川敦, 今井

    情報処理学会東北支部研究報告   2018-9 ( B3-1 )   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ハードウェアトロイ無効化のための多重化システムの実装

    和島純也, 金本俊幾, 黒川敦, 今井

    情報処理学会東北支部研究報告   2018-9 ( B3-2 )   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 束データ方式非同期式回路におけるハードウェアトロイ検出手法の評価

    稲葉光太郎, 金本俊幾, 黒川敦, 今井

    情報処理学会東北支部研究報告   2018-9 ( B3-3 )   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 束データ方式非同期式回路におけるハードウェアトロイ検出手法の評価

    稲葉光太郎, 金本俊幾, 黒川敦, 今井

    情報処理学会東北支部研究報告   2018-9 ( No. B3-3 )   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • AC解析を用いたオンチップ電源分配網の容量抽出手法

    葛西孝己, 黒川敦, 今井雅, 金本俊幾

    情報処理学会 東北支部研究会   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 遅延ばらつきを考慮した遅延線設計による束データ方式非同期式回路の高性能化

    赤坂親一郎, 金本俊幾, 黒川敦, 今井

    情報処理学会東北支部研究報告   2018-9 ( B3-1 )   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • APHRODITEデータのDB化による品質管理およびweb上でのデータ公開について

    谷田貝亜紀代, 今井雅, 前田未央, 石田祐宣

    情報処理学会東北支部研究報告   2018-9 ( No. A2-3 )   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 束データ方式非同期式回路におけるハードウェアトロイ攻撃と対策

    稲葉光太郎, 金本俊幾, 黒川敦, 今井

    電子情報通信学会ハードウェアセキュリティフォーラム2018   2018年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • MTJ-based Asynchronous Circuits for Re-initialization Free Computing Against Power Failures

    N. Onizawa, M. Imai, T. Yoneda, T. Hanyu,

    Microelectronics Journal   82   46 - 61   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 2phaseハンドシェイクプロトコルに基づく束データ方式非同期式回路のレプリカ遅延線設計

    赤坂親一郎, 金本俊幾, 黒川敦, 今井

    DAシンポジウム2018   93 - 98   2018年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • SATソルバによるADC解法研究のすすめ

    鈴木隆士, 海野和貴, 眞鍋雄太, 秋元恭太, 赤坂親一郎, 稲葉光太郎, 和島純也, 今井

    DAシンポジウム2018   2018年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 耐ビザンチンフォールトシステムの効率的な実装方式とデモ環境の構築

    今井雅, 七尾健, 石川雄大, 稲葉光太郎

    電子情報通信学会技術研究報告 DC2018-15 (SWOPP2018)   13 - 18   2018年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Novel Delay Elements for Bundled-Data Transfer Circuits Based on Two-Phase Handshaking Protocols

    Masashi Imai, Shinichiro Akasaka, Tomohiro Yoneda

    Proc. ASYNC2018   1 - 8   2018年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Power Delivery Network Optimization of 3D ICs Using Multi-Objective Genetic Algorithm

    Yuuta Satomi, Koutaro Hachiya, Masashi Imai,ToshikiKanamoto,KaoruFurumi,AtsushiKurokawa

    Proc. SASIMI2018   2018年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Impact of Distributing 3D Stacked ICs on Maximum Temperature Reduction

    Kaoru Furumi, Shintaro Okamoto, Toshiki Kanamoto, Masashi Imai, Atsushi Kurokawa

    Proc. SASIMI2018   394 - 397   2018年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Minimum Power Supply Asynchronous Circuits for Re-initialization Free Computing

    Masashi Imai, Naoya Onizawa, Takahiro Hanyu, Tomohiro Yoneda

    Proc. SASIMI2018   283 - 288   2018年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • ビザンチンフォールトトレラントシステムの構築と実用性評価

    七尾健, 石川雄大, 金本俊幾, 黒川敦, 今井

    情報処理学会東北支部研究報告   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 容量素子最適化のための LSI・パッケージ・ボード電源網解析モデルの構築

    葛西孝己, 神藤始, 陳俊, 橋本昌宜, 今井雅, 黒川敦, 金本俊幾

    情報処理学会東北支部研究報告   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • PowerMOS デバイス熱設計のためのボンディングワイヤモデルの構築

    太田拓磨, 宗形恒夫, 今井雅, 黒川敦, 金本俊幾

    情報処理学会東北支部研究報告   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • CMOS回路における消費エネルギー低減のための電源電圧と閾値電圧の調節手法

    成田全, 葛西孝己, 今井雅, 黒川敦, 金本俊幾

    情報処理学会東北支部研究報告   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • FPGA の信号伝搬遅延の温度依存性に関する研究

    江良祥耶, 葛西孝己, 今井雅, 黒川敦, 金本俊幾

    情報処理学会東北支部研究報告   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ランダム遅延素子を用いた耐タンパ非同期式回路の設計

    豊嶋太樹, 金本俊幾, 黒川敦, 今井

    情報処理学会東北支部研究報告   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • MTJ-based Asynchronous Circuits for Re-initialization Free Computing against Power Failures

    Naoya Onizawa, Masashi Imai, Takahiro Hanyu, Tomohiro Yoneda

    Proceedings - International Symposium on Asynchronous Circuits and Systems   2017-   118 - 125   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

    Scopus

  • 容量配置最適化に向けた15nm世代LSI・パッケージ・ボード電源網解析モデルの構築

    金本俊幾, 葛西孝己, 今井雅, 黒川敦, 橋本昌宜, 陈俊, 神藤始

    DAシンポジウム2017論文集   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Modeling and Analysis for Predicting Clock Skew of Stacked Chips

    Seira Kamiie, Toshiki Kanamoto, Masashi Imai, Shintaro Okamoto, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers   1806   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 容量素子最適化のためのLSI・パッケージ・ボード電源網解析モデルの構築

    葛西孝己, 今井雅, 黒川敦, 金本俊幾, 陈俊, 橋本昌宜, 神藤始

    平成29年度電気関係学会東北支部連合大会   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • PowerMOSデバイス熱設計のためのボンディングワイヤモデルの構築

    太田拓磨, 葛西孝己, 今井雅, 黒川敦, 金本俊幾, 宗形恒夫

    平成29年度電気関係学会東北支部連合大会   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Estimating Walking State When Holding Object in Hand by Using Neural Network

    Ryo Sasaki, Toshiki Kanamoto, Masashi Imai, Kaoru Furumi, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ランダム遅延素子を用いた非同期式回路の耐タンパ性向上に関する一考察

    豊嶋太樹, 金本俊幾, 黒川敦, 今井

    平成29年度電気関係学会東北支部連合大会   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Method for Mitigating Heat of 3D Stacked Memory for Small Electronic Devices

    Shintaro Okamoto, Kaoru Furumi, Masashi Imai, Toshiki Kanamoto, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Optimizing Power Distribution Network Using Multi-Objective Genetic Algorithm

    Yuta Satomi, Masashi Imai, Toshiki Kanamoto, Kaoru Furumi, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Reducing Temperature by Relocating 3D IC Structures

    Kaoru Furumi, Shintaro Okamoto, Toshiki Kanamoto, Masashi Imai, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • A Study on Replica Delay Circuit of Bundled-Data Transfer Asynchronous Circuits

    Shinichiro Akasaka, Toshiki Kanamoto, Atsushi Kurokawa, Masashi Imai

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Hardware Trojan Comparison between Synchronous and Asynchronous Circuits

    Koutaro Inaba, Toshiki Kanamoto, Atsushi Kurokawa, Masashi Imai

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Thermal-Aware Tile-Based Block Placement for 3D ICs

    Ryosuke Hatsuta, Masashi Imai, Toshiki Kanamoto, Shintaro Okamoto, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Reducing Temperature by Relocating 3D IC Structures

    Kaoru Furumi, Shintaro Okamoto, Toshiki Kanamoto, Masashi Imai, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   1B15   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • PowerMOSデバイス熱設計のためのボンディングワイヤモデルの構築

    太田拓磨, 葛西孝己, 今井雅, 黒川敦, 金本俊幾, 宗形恒夫

    平成29年度電気関係学会東北支部連合大会   2E03   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Optimizing Power Distribution Network Using Multi-Objective Genetic Algorithm

    Yuta Satomi, Masashi Imai, Toshiki Kanamoto, Kaoru Furumi, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   1B16   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Modeling and Analysis for Predicting Clock Skew of Stacked Chips

    Seira Kamiie, Toshiki Kanamoto, Masashi Imai, Shintaro Okamoto, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   1B06   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Method for Mitigating Heat of 3D Stacked Memory for Small Electronic Devices

    Shintaro Okamoto, Kaoru Furumi, Masashi Imai, Toshiki Kanamoto, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   1B17   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Estimating Walking State When Holding Object in Hand by Using Neural Network

    Ryo Sasaki, Toshiki Kanamoto, Masashi Imai, Kaoru Furumi, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   2B19   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • A Study on Replica Delay Circuit of Bundled-Data Transfer Asynchronous Circuits

    Shinichiro Akasaka, Toshiki Kanamoto, Atsushi Kurokawa, Masashi Imai

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   1B10   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ランダム遅延素子を用いた非同期式回路の耐タンパ性向上に関する一考察

    豊嶋太樹, 金本俊幾, 黒川敦, 今井

    平成29年度電気関係学会東北支部連合大会   1G04   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 容量配置最適化に向けた15nm世代LSI・パッケージ・ボード電源網解析モデルの構築

    金本俊幾, 葛西孝己, 今井雅, 黒川敦, 橋本昌宜, 陈俊, 神藤始

    Proc. DAS2017   111 - 114   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 容量素子最適化のためのLSI・パッケージ・ボード電源網解析モデルの構築

    葛西孝己, 今井雅, 黒川敦, 金本俊幾, 陈俊, 橋本昌宜, 神藤始

    平成29年度電気関係学会東北支部連合大会   2E09   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 耐ビザンチンフォールトシステムの実装方式に関する一考察

    七尾健, 石川雄大, 今井

    電子情報通信学会技術研究報告DC2017-17   7 - 12   2017年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Task Scheduling based Redundant Task Allocation Method for the Multi-core Systems with the DTTR Scheme

    H. Saito, M. Imai, T. Yoneda

    IEICE Trans. Fundamentals   100 ( 7 )   1363 - 1773   2017年07月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 耐ビザンチンフォールトシステムの実装方式に関する一考察

    七尾健, 石川雄大, 今井

    子情報通信学会技術研究報告 DC2017-17 (SWOPP2017)   7 - 12   2017年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 非同期式オンチップネットワークルータに対するハードウェアトロイ挿入

    稲葉光太郎, 今井

    電子情報通信学会ハードウェアセキュリティ研究会   2017年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • A Study on Hardware Trojan Insertion into Asynchronous NoC Router

    Koutaro Inaba, Tomohiro Yoneda, Masashi Imai

    Proc. Async2017 Fresh ideas track paper   2017年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • MTJ-Based Asynchronous Circuits for Re-initialization Free Computing against Power Failures

    Naoya Onizawa, Masashi Imai, Takahiro Hanyu, Tomohiro Yoneda

    Proc. ASYNC2017   118 - 125   2017年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • A Study on Hardware Trojan Insertion into Asynchronous NoC Router

    Koutaro Inaba, Tomohiro Yoneda, Masashi Imai

    Proc. Async2017 Fresh ideas track paper   2017年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Cooling Architectures using Thermal Sidewalls, Interchip Plates, and Bottom Plate for 3D ICs

    Kaoru Furumi, Masashi Imai, Atsushi Kurokawa

    Proc. ISQED2017   2017年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 非同期式NoCルータへのハードウェアトロイ挿入に関する研究

    稲葉光太郎, 金本俊幾, 黒川敦, 今井

    電子情報通信学会総合大会   A-7-1   2017年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • マルチコアシステムのマルコフモデルによる信頼性評価

    和島純也, 金本俊幾, 黒川敦, 今井

    電子情報通信学会総合大会   D-10-3   2017年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 非同期式NoCルータへのハードウェアトロイ挿入に関する研究

    稲葉光太郎, 金本俊幾, 黒川敦, 今井

    電子情報通信学会総合大会   A-7-1   82 - 82   2017年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 極低電圧回路における消費エネルギー極小化に関する一考察

    瀧健太郎, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2016-6 ( B1-1 )   2017年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 低電圧環境における高性能非同期式回路の実現

    田近龍平, 金本俊幾, 今井

    情報処理学会東北支部研究報告   2016-6 ( B1-4 )   2017年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ハンドシェイク回路多重化による低ノイズ非同期式回路の実現,

    石川達也, 金本俊幾, 今井

    情報処理学会東北支部研究報告   2016-6 ( B1-3 )   2017年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 多段リングオシレータによるFPGA性能ばらつきの実験的評価

    増川孔明, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2016-6 ( B1-2 )   2017年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Hardware Trojan Comparison between Synchronous and Asynchronous Circuits

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan   2017 ( 0 )   19 - 19   2017年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Thermal-Aware Tile-Based Block Placement for 3D ICs

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan   2017 ( 0 )   18 - 18   2017年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Task Scheduling Based Redundant Task Allocation Method for the Multi-Core Systems with the DTTR Scheme

    SAITO Hiroshi, IMAI Masashi, YONEDA Tomohiro

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E100.A ( 7 )   1363 - 1373   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    CiNii Research

  • Reducing Temperature by Relocating 3D IC Structures

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan   2017 ( 0 )   26 - 26   2017年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • PowerMOSデバイス熱設計のためのボンディングワイヤモデルの構築

    太田 拓磨, 葛西 孝己, 今井 雅, 黒川 敦, 金本 俊幾, 宗形 恒夫

    電気関係学会東北支部連合大会講演論文集   2017 ( 0 )   196 - 196   2017年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Optimizing Power Distribution Network Using Multi-Objective Genetic Algorithm

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan   2017 ( 0 )   27 - 27   2017年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Modeling and Analysis for Predicting Clock Skew of Stacked Chips

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan   2017 ( 0 )   17 - 17   2017年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Method for Mitigating Heat of 3D Stacked Memory for Small Electronic Devices

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan   2017 ( 0 )   28 - 28   2017年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Estimating Walking State When Holding Object in Hand by Using Neural Network

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan   2017 ( 0 )   165 - 165   2017年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • A Study on Replica Delay Circuit of Bundled-Data Transfer Asynchronous Circuits

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan   2017 ( 0 )   21 - 21   2017年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • ランダム遅延素子を用いた非同期式回路の耐タンパ性向上に関する一考察

    豊嶋 太樹, 金本 俊幾, 黒川 敦, 今井

    電気関係学会東北支部連合大会講演論文集   2017 ( 0 )   94 - 94   2017年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • 容量素子最適化のためのLSI・パッケージ・ボード電源網解析モデルの構築

    葛西 孝己, 今井 雅, 黒川 敦, 金本 俊幾, 陳 俊, 橋本 昌宜, 神藤 始

    電気関係学会東北支部連合大会講演論文集   2017 ( 0 )   201 - 201   2017年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • 非同期式回路に対するハードウェアトロイ挿入に関する一考察

    稲葉光太郎, 今井

    ハードウェアセキュリティフォーラム2016 ポスター発表   2016年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 非同期式回路に対するハードウェアトロイ挿入に関する一考察

    稲葉光太郎, 今井

    ハードウェアセキュリティフォーラム2016 ポスター   2016年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Hardware Trojan Insertion Difficulties into Synchronous and Asynchronous Circuits

    Masashi Imai, Tomohiro Yoneda

    Proc. SASIMI2016   213 - 218   2016年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Random Delay Elements for Tamper Resistant Asynchronous Circuits based on 2-phase Handshaking Protocol

    Daiki Toyoshima, Tatsuya Ishikawa, Atsushi Kurokawa, Masashi Imai

    Proc. SASIMI2016   113 - 118   2016年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • The Synchronous vs. Asynchronous NoC Routers: An Apple-to-Apple Comparison between Synchronous and Transition Signaling Asynchronous Designs

    Masashi Imai, Thiem Van Chu, Kenji Kise, Tomohiro Yoneda

    Proc. NOCS2016   64 - 69   2016年09月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ラッチベース非同期式回路のスキャンテスト

    寺山恭平, 今井

    電子情報通信学会論文誌A   99-A ( 8 )   298 - 308   2016年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • A Study on Byzantine Fault Tolerant Systems using SCore Cluster System Software

    Takeru Nanao, Atsushi Kurokawa, Masashi Imai

    平成28年度電気関係学会東北支部連合大会, IEEE Student Session   2A07   2016年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Recognition of Wrist Position While Walking by Using Wearable Triaxial Accelerometers

    Kaoru Furumi, Shintaro Mizoguchi, Nanako Niioka, Masashi Imai, Atsushi Kurokawa

    Proc. ITC-CSCC2016   97 - 100   2016年07月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • マルチソースバッファを用いた積層チップのクロック分配方法

    新岡七奈子, 古見薫, 今井雅, 黒川敦

    電子情報通信学会 技術研究報告 VLD2016-37   167 - 172   2016年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 三次元集積回路の熱解析

    古見薫, 今井雅, 新岡七奈子, 黒川敦

    電子情報通信学会 技術研究報告 VLD2016-38   173 - 178   2016年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 多数決イネーブルラッチを用いた非同期式回路の耐故障性に関する一検討

    今井雅, 米田友洋

    電子情報通信学会 技術研究報告 VLD2016-39   179 - 184   2016年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ランダム遅延素子を用いた耐タンパ非同期式パイプライン回路

    豊嶋太樹, 黒川敦, 今井

    電子情報通信学会 技術研究報告 VLD2016-40   185 - 190   2016年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ランダム遅延素子を用いた耐タンパ非同期式パイプライン回路

    豊嶋太樹, 黒川敦, 今井

    電子情報通信学会技術研究報告   VLD2016-40   185 - 190   2016年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 多数決イネーブルラッチを用いた非同期式回路の耐故障性に関する一検討

    今井雅, 米田友洋

    電子情報通信学会技術研究報告   VLD2016-39   179 - 184   2016年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • A Task Allocation Method for the DTTR Scheme based on Task Scheduling of Fault Patterns

    Hiroshi Saito, Masashi Imai, Tomohiro Yoneda

    Proc. ISCAS2016   2016年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Power-Gated Single-Track Asynchronous Circuits Using Three-Terminal MTJ-Based Nonvolatile Devices for Energy Harvesting Systems

    Tomohiro Yoneda, Naoya Onizawa, Masashi Imai, Takahiro Hanyu

    Proc. ASYNC2016   2016年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Can Asynchronous Circuits Tolerate Hardware Trojan Threat?

    Masashi Imai, Tomohiro Yoneda

    Proc. ASYNC2016   2016年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • ウェアラブルセンサを用いた歩行時の腕の状態認識

    溝口真太郎, 深瀬政秋, 今井雅, 古見薫, 新岡七奈子, 黒川敦

    情報処理学会第78回全国大会, 1V-01   2016年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • DTTR方式によるマルチコアシステム向けのタスクの最大並列度を基にしたタスク割り当て手法

    齋藤寛, 今井雅, 米田友洋

    電子情報通信学会技術研究報告 VLD2015-113   13 - 18   2016年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ディペンダブル・ネットワーク・オンチッププラットフォームの開発に関する研究

    佐藤謙介, 今井

    情報処理学会東北支部研究報告 Vol.2015-8 No.B1-1   2016年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ラッチベース非同期式回路のテストに関する研究

    寺山恭平, 今井

    情報処理学会東北支部研究報告 Vol.2015-8 No.B1-2   2016年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ラッチベース非同期式回路のテストに関する研究

    寺山恭平, 今井

    情報処理学会東北支部研究報告   Vol. 2015-8 ( No. B1-2 )   2016年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ラッチベース非同期式回路のスキャンテスト

    今井

    電子情報通信学会論文誌   J99-A   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:単著  

    CiNii Research

  • A Study on Byzantine Fault Tolerant Systems using SCore Cluster System Software

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan   2016 ( 0 )   26 - 26   2016年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • QDIモデルに基づく非同期式VLSIの低電圧特性の評価

    田近龍平, 黒川敦, 今井

    電子情報通信学会 技術研究報告 VLD2015-67,DC2015-63   189 - 194   2015年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 非同期式回路を用いたピーク電流抑制型バンドパスフィルタの実装と評価

    石川達也, 黒川敦, 今井

    電子情報通信学会 技術研究報告 VLD2015-68,DC2015-64   195 - 200   2015年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 非同期式回路を用いたピーク電流抑制型バンドパスフィルタの実装と評価

    石川達也, 黒川敦, 今井

    電子情報通信学会技術研究報告   VLD2015-68, DC2015-64   195 - 200   2015年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • DTTR方式によるマルチコアシステムの信頼性向上のためのタスク割り当て手法の検討

    齋藤寛, 米田友洋, 今井

    情報処理学会研究報告 Vol.2015-SLDM-172 No.12   63 - 68   2015年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • DTTR方式による高信頼マルチコアシステムの性能評価に関する一考察

    佐藤謙介, 齋藤寛, 米田友洋, 今井

    情報処理学会研究報告 Vol.2015-SLDM-172 No.11   57 - 62   2015年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • A New Encoding Mechanism for Low Power Inter-Chip Serial Communication in Asynchronous Circuits

    Tomohiro Yoneda, Masashi Imai

    Proc. ICCD2015 Poster Session   424 - 427   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Dependable Real-Time Task Execution Scheme for a Many-Core Platform

    Tomohiro Yoneda, Masashi Imai, Hiroshi Saito, Kenji Kise

    Proc. DFTS2015   198 - 205   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Clock Skew Reduction for Stacked Chips Using Multiple Source Buffers

    Nanako Niioka, Masashi Imai, Masa-Aki Fukase, Yuuki Miura, Kaoru Furumi, Atsushi Kurokawa

    Proc. ISCIT2015   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • A New Encoding Mechanism for Low Power Inter-Chip Serial Communication in Asynchronous Circuits

    Tomohiro Yoneda, Masashi Imai

    Proc. ICCD2015 Poster session   424 - 427   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • DTTR方式による高信頼マルチコアシステムの性能評価に関する一考察

    佐藤謙介, 齋藤寛, 米田友洋, 今井

    情報処理学会研究報告   Vol. 2015-SLDM-172 ( No. 11 )   57 - 62   2015年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • DTTR方式によるマルチコアシステムの信頼性向上のためのタスク割り当て手法の検討

    齋藤寛, 米田友洋, 今井

    情報処理学会研究報告   Vol. 2015-SLDM-172 ( No. 12 )   63 - 68   2015年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Dependable Real-Time Task Execution Scheme for a Many-Core Platform

    Tomohiro Yoneda, Masashi Imai, Hiroshi Saito, Kenji Kise

    Proc. DFTS2015   198 - 205   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Clock Skew Reduction for Stacked Chips Using Multiple Source Buffers

    Nanako Niioka, Masashi Imai, Masa-Aki Fukase, Yuuki Miura, Kaoru Furumi, Atsushi Kurokawa

    Proc. ISCIT2015   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Comparing Permanent and Transient Fault Tolerance of Multiple-core based Dependable ECUs

    Masashi Imai, Tomohiro Yoneda

    Proc. CARS2015   2015年09月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Performance Comparison between Asynchronous Self-timed Circuits and Synchronous Circuits under Ultra Low Voltage Environment

    Ryuhei Tachika, Atsushi Kurokawa, Masashi Imai

    平成27年度電気関係学会東北支部連合大会, IEEE Student Session, 1A08   2015年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Peak Current Reduction Method of Digital Bandpass Filter using Asynchronous MOUSETRAP Pipeline Circuits

    Tatsuya Ishikawa, Atsushi Kurokawa, Masashi Imai

    平成27年度電気関係学会東北支部連合大会 IEEE Student Session, 1A09   2015年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Thermal Analysis with Varying Physical Parameters in 3D ICs

    Kaoru Furumi, Masaaki Fukase, Masashi Imai, Yuuki Miura, Nanako Niioka, Atsushi Kurokawa

    電気学会 電子・情報・システム部門大会   2015年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 三次元集積回路の伝搬遅延とクロストークノイズのモデリング

    新岡七奈子, 深瀬政秋, 今井雅, 古見薫, 三浦祐輝, 黒川敦

    第28回 回路とシステムワークショップ   2015年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Duplication with Temporary Triple Modular Redundancy and Reconfigurationのためのタスク割り当て手法

    齋藤寛, 米田友洋, 今井

    Proc. DAS2015   2015年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Thermal Analysis with Varying Physical Parameters in 3D ICs

    Kaoru Furumi, Masaaki Fukase, Masashi Imai, Yuuki Miura, Nanako Niioka, Atsushi Kurokawa

    電気学会 電子・情報・システム部門大会   2015年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Performance Comparison between Asynchronous Self-timed Circuits and Synchronous Circuits under Ultra Low Voltage Environment

    Ryuhei Tachika, Atsushi Kurokawa, Masashi Imai

    平成27年度電気関係学会東北支部連合大会, IEEE Student Session   1A08   2015年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Peak Current Reduction Method of Digital Bandpass Filter using Asynchronous MOUSETRAP Pipeline Circuits

    Tatsuya Ishikawa, Atsushi Kurokawa, Masashi Imai

    平成27年度電気関係学会東北支部連合大会, IEEE Student Session   1A09   2015年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 三次元集積回路の伝搬遅延とクロストークノイズのモデリング

    新岡七奈子, 深瀬政秋, 今井雅, 古見薫, 三浦祐輝, 黒川敦

    第28回 回路とシステムワークショップ   2015年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • DTTR方式による高信頼メニコアシステムの性能評価

    今井雅, 米田友洋

    FTC研究会   2015年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ラッチを用いた非同期式パイプライン回路の機能テストに関する一検討

    豊嶋太樹, 寺山恭平, 黒川敦, 今井

    電子情報通信学会技術研究報告 DC2015-19   19 - 24   2015年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • マルチコアシステムにおける信頼度向上手法のマルコフモデルによる性能評価

    今井雅, 米田友洋

    電子情報通信学会技術研究報告 DC2015-20   25 - 30   2015年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Scan test of latch-based asynchronous pipeline circuits under 2-phase handshaking protocol

    Kyohei Terayama, Atsushi Kurokawa, Masashi Imai

    Proc. of SASIMI2015   128 - 133   2015年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • ウィンドウベースL逆行列によるTSV間容量抽出

    小林徹哉, 今井雅, 深瀬政秋, 新岡七奈子, 黒川敦,

    電子情報通信学会 総合大会 講演論文集   2015年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 高効率遅延セルの実測による性能評価

    星誠, 深瀬政秋, 今井雅, 黒川敦

    電子情報通信学会 総合大会 講演論文集   2015年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • An effective model for evaluating vertical propagation delay in TSV-based 3-D ICs

    Masayuki Watanabe, Nanako Niioka, Tetsuya Kobayashi, Rosely Karel, Masa-aki Fukase, Masashi Imai, and Atsushi Kurokawa

    Proc. of ISQED2015   2015年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • An effective model for evaluating vertical propagation delay in TSV-based 3-D ICs

    Masayuki Watanabe, Nanako Niioka, Tetsuya Kobayashi, Rosely Karel, Masa-aki Fukase, Masashi Imai, Atsushi Kurokawa

    Proc. ISQED15   2015年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • ウィンドウベースL逆行列によるTSV間容量抽出

    小林徹哉, 今井雅, 深瀬政秋, 新岡七奈子, 黒川敦

    電子情報通信学会総合大会   2015年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Scan test of latch-based asynchronous pipeline circuits under 2-phase handshaking protocol

    Kyohei Terayama, Atsushi Kurokawa, Masashi Imai

    Proc. SASIMI2015   128 - 133   2015年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 高効率遅延セルの実測による性能評価

    星誠, 深瀬政秋, 今井雅, 黒川敦

    電子情報通信学会総合大会   2015年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Signal Propagation Delay Model in Vertically Stacked Chips

    NIIOKA Nanako, WATANABE Masayuki, FUKASE Masa-aki, IMAI Masashi, KUROKAWA Atsushi

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E98.A ( 12 )   2614 - 2624   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Performance Comparison between Asynchronous Self-timed Circuits and Synchronous Circuits under Ultra Low Voltage Environment

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan   2015 ( 0 )   8 - 8   2015年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Peak Current Reduction Method of Digital Bandpass Filter using Asynchronous MOUSETRAP Pipeline Circuits

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan   2015 ( 0 )   9 - 9   2015年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Novel Implementation Method of Multiple-Way Asynchronous Arbiters

    IMAI Masashi, YONEDA Tomohiro

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E98.A ( 7 )   1519 - 1528   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Energy-and-Performance Efficient Differential Domino Logic Cell Libraries for QDI-model-based Asynchronous Circuits

    Masashi Imai, Tomohiro Yoneda

    Proc. of APCCAS2014   687 - 690   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Effect of Substrate Contacts on Reducing Crosstalk Noise between TSVs

    Masayuki Watanabe, Rosely Karel, Nanako Niioka, Tetsuya Kobayashi, Masa-aki Fukase, Masashi Imai, Atsushi Kurokawa

    Proc. of APCCAS2014   763 - 766   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • An NoC-based Evaluation Platform for Safety-Critical Automotive Applications

    omohiro Yoneda, Masashi Imai, Hiroshi Saito, Takahiro Hanyu, Kenji Kise, Yuichi Nakamura

    Proc. of APCCAS2014   679 - 682   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Impact of On-Chip Interconnects on Vertical Signal Propagation in 3D ICs

    Nanako Niioka, Masayuki Watanabe, Rosely Karel, Tetsuya Kobayashi, Masashi Imai, Masa-aki Fukase, and Atsushi Kurokawa

    Proc. of APCCAS2014   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 高速通信非同期式回路における多入力調停回路の構成方式に関する考察

    今井雅, 黒川敦

    平成26年度 電気学会 電子・情報・システム部門大会   771 - 776   2014年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 2Phaseハンドシェイクプロトコルに基づく非同期式回路のスキャンテスト

    寺山恭平, 今井雅, 黒川敦

    平成26年度 電気学会 電子・情報・システム部門大会   765 - 770   2014年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • DDL素子を用いた非同期式細粒度パイプライン回路の論理合成用ライブラリ

    今井

    DAシンポジウム2014   73 - 78   2014年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:単著  

  • Modeling and analysis of vertical interconnects in 3D ICs

    Nanako Niioka, Masashi Imai, Masa-aki Fukase, Rosely Karel, Tetsuya Koba\ yashi, and Atsushi Kurokawa

    Proc. Tohoku-Section J\ oint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   1A03   2014年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Substrate contact effect on TSV-to-TSV coupling

    Rosely Karel, Masa-aki Fukase, Masashi Imai, Nanako Niioka, Tetsuya Koba\ yashi, and Atsushi Kurokawa

    Proc. Tohoku\ -Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   1A04   2014年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • DDL素子を用いた非同期式細粒度パイプライン回路の論理合成用ライブラリ

    今井

    Proc. DAS2014   73 - 78   2014年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:単著  

  • Substrate contact effect on TSV-to-TSV coupling

    Rosely Karel, Masa-aki Fukase, Masashi Imai, Nanako Niioka, Tetsuya Kobayashi, Atsushi Kurokaw

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   1A04   2014年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Modeling and analysis of vertical interconnects in 3D ICs

    Nanako Niioka, Masashi Imai, Masa-aki Fukase, Rosely Karel, Tetsuya Kobayashi, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   1A03   2014年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Multiple-Clock Multiple-Edge-Triggered Multiple-Bit Flip-flops for Two-Phase Handshaking Asynchronous Circuits

    Masashi Imai, Tomohiro Yoneda

    Proc. ISCAS2014   2014年06月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • ディペンダブルVLSIシステム最前線2014

    今井

    WIT2014   2014年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:単著  

  • High-Throughput Partially Parallel Inter-chip Link Architecture for Asynchronous Multi-Chip NoCs

    Naoya Onizawa, Akira Mochizuki, Hirokatsu Shirahama, Masashi Imai, Tomohiro Yoneda, Tadahiro Hanyu

    IEICE Trans on Inf.&Syst.   E97-D ( 6 )   1546 - 1556   2014年06月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • ディペンダブルVLSIシステム最前線2014

    今井

    WIT2014   2014年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:単著  

  • DDLセルライブラリを用いた非同期式回路設計支援環境の構築

    今井雅, 五十嵐大将, 工藤三四郎

    電子情報通信学会技術研究報告   3 - 8   2014年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • MOUSETRAPパイプライン回路のスキャンテスト

    寺山恭平, 今井

    2014年電子情報通信学会総合大会, 基礎・境界講演論文集   49 - 49   2014年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Substrate Contact Effect on TSV-to-TSV Coupling

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan   2014 ( 0 )   4 - 4   2014年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Modeling and Analysis of Vertical Interconnects in 3D ICs

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan   2014 ( 0 )   3 - 3   2014年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Variability Evaluation and Characterization of Multi-clock Dual-Edge-Triggered FlipFlops

    Masashi Imai, Tomohiro Yoneda

    Proc. IEEE/ACM Workshop on Variability Modeling and Characterization 2013   2013年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 2相ハンドシェイクプロトコル非同期式回路向けマルチクロック・マルチエッジトリガ・フリップフロップの提案

    今井雅, 米田友洋

    電子情報通信学会技術研究報告   VLD2013   2013年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • マルチクロック・デュアルエッジトリガフリップフロップを用いたヘテロタイミング回路設計

    今井雅, 米田友洋

    電気学会 電子・情報・システム部門大会   870 - 875   2013年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Fault Diagnosis and Reconfiguration Method for Network-on-Chip Based Multiple Processor Systems with Restricted Private Memories

    Masashi Imai, Tomohiro Yoneda

    IEICE Trans on Inf.&Syst.   E96-D ( 9 )   1914 - 1925   2013年09月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 多ビット記憶素子を用いた高性能非同期式回路設計方式

    佐藤謙介, 今井

    電気学会 電子・情報・システム部門大会   864 - 869   2013年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 可変クロック方式の開発

    小田桐舞央,今井雅,黒川敦,深瀬政秋

    電気関係学会東北支部連合大会   1C04   2013年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 内蔵型クロック回路の開発

    宮森博也,今井雅,黒川敦,深瀬政秋

    電子情報通信学会2012年総合大会   2013年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 7.3 非同期式ネットワークオンチップ(第7章:時間応答性,<特集>ディペンダブルVLSIシステム)

    米田 友洋, 今井

    日本信頼性学会誌 信頼性   35 ( 8 )   482 - 482   2013年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • 10.5 多重化CPUコアの故障検出と再構成手法(第10章:将来の課題,<特集>ディペンダブルVLSIシステム)

    今井 雅, 米田 友洋

    日本信頼性学会誌 信頼性   35 ( 8 )   514 - 514   2013年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • 可変クロック方式の開発

    小田桐 舞央, 今井 雅, 黒川 敦, 深瀬 政秋

    電気関係学会東北支部連合大会講演論文集   2013 ( 0 )   32 - 32   2013年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Performance Modeling and Analysis of On-chip Networks for Real-time Applications

    Masashi Imai, Tomohiro Yoneda

    Proc. of PRDC2012   111 - 120   2012年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Multi-Chip NoCs for Automotive Applications

    Tomohiro Yoneda, Masashi Imai, Naoya Onizawa, Atsushi Matsumoto, Takahiro Hanyu

    Proc. PRDC2012   105 - 110   2012年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Floorplan Method for SDI-model-based Asynchronous Circuits to Achieve High Robustness against Delay Variations

    Masashi Imai, Tomohiro Yoneda

    IEEE/ACM Workshop on CAD for Multi-Synchronous and Asynchronous Circuits and Systems 2012   2012年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Dependable Routing in Multi-Chip NoC Platforms for Automotive Applications

    Tomohiro Yoneda, Masashi Imai

    Proc. DFT2012   217 - 224   2012年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 遅延制約を考慮した高性能非同期式回路配置配線方式の検討

    今井雅, 米田友洋

    電気学会 電子・情報・システム部門大会   1137 - 1142   2012年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Performance modeling and analysis of on-chip networks for real-time applications

    Masashi Imai, Tomohiro Yoneda

    Proceedings of IEEE Pacific Rim International Symposium on Dependable Computing, PRDC   111 - 120   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

    Scopus

  • Multi-chip NoCs for automotive applications

    Tomohiro Yoneda, Masashi Imai, Naoya Onizawa, Atsushi Matsumoto, Takahiro Hanyu

    Proceedings of IEEE Pacific Rim International Symposium on Dependable Computing, PRDC   105 - 110   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

    Scopus

  • Duplicated Execution Method for NoC-based Multiple Processor Systems with Restricted Private Memories

    Masashi Imai, Tomohiro Yoneda

    Proc. DFT2011   463 - 471   2011年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Improrving Dependability and Performance of Fully Asynchronous On-chip Networks

    Masashi Imai, Tomohiro Yoneda

    Proc. Async2011   65 - 76   2011年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • An Efficient Decision Unit for the Pair and Swap Methodology within Chip Multiprocessors

    James Weston, Masashi Imai, Tomohide Nagai, Takashi Nanya

    Proc. PRDC2010   62 - 69   2010年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • パケット転送経路の偏りに着目した高性能非同期式ネットワークオンチップの検討

    武安聡,今井雅,中村宏

    電子情報通信学会技術研究報告   VLD2010 ( 66 )   67 - 72   2010年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • DSN2010報告" 〜The 40th Annual IEEE/IFIP International Conference on Dependable Systems and Networks

    今井

    電子情報通信学会技術研究報告   DC2010 ( 23 )   31 - 34   2010年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:単著  

  • Evaluation of Delay Variations and Soft-Error Hardness in Asynchronous Pipeline Circuits

    Masashi Imai, Tomohiro Yoneda

    Proc. IEEE/ACM Workshop on Variability Modeling and Characterization   2010年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • パケット転送経路の偏りに着目した高性能非同期式ネットワークオンチップの検討

    武安聡, 今井雅, 中村宏

    電子情報通信学会技術研究報告   VLD2010-66   67 - 72   2010年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Hard-redundant Fault Tolerance Techniques for Resilient Protection Relay Systems

    Tomoyuki Kawasaki, Katsuhiko Sekiguchi, Kazuto Fukushima, Takaya Shono, Masashi Imai, Tomohide Nagai, Takashi Nanya

    Proc. 16th International Conference on Electrical Engineering   2010年07月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Pair and Swap: An Approach to Graceful Degradation for Dependable Chip Multiprocessors

    Masashi Imai, Tomohide Nagai, Takashi Nanya

    Proc. WDSN10   119 - 124   2010年06月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • プロセス二重化とプロセス対交換によるチップマルチプロセッサの高信頼化手法

    長井智英,今井雅,南谷崇

    電子情報通信学会技術研究報告   VLD2009 ( 51 )   67 - 72   2009年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • プロセス二重化とプロセス対交換によるチップマルチプロセッサの高信頼化手法

    長井智英, 今井雅, 南谷崇

    電子情報通信学会技術研究報告   VLD2009-51,DC2009-38   67 - 72   2009年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • N-way Ring and Square Arbiters

    Masashi Imai, Tomohiro Yoneda, Takashi Nanya

    Proc. ICCD09   125 - 130   2009年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • N-way Ring and Square Arbiters

    Masashi Imai, Tomohiro Yoneda, Takashi Nanya

    Proc ICCD2009   125 - 130   2009年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 非同期式設計技術の基礎

    今井

    FTC研究会   2009年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:単著  

  • Achieving Degradation Tolerance in a Hardware Accelerator with Parallel Functional Units

    Tomohiro Yoneda, Masashi Imai, Hiroshi Saito, Atsushi Matsumoto

    Proc. of Third Workshop on Dependable and Secure Nanocomputing   28 - 33   2009年06月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Fine-grain Leakage Power Reduction Method for m-out-of-n Encoded Circuits Using Multi-Threshold-Voltage Transistors

    Masashi Imai, Kouei Takada, Takashi Nanya

    Proc. Async2009   209 - 216   2009年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • マルチ閾値電圧トランジスタを用いた2線2相式非同期式回路のリーク電力削減手法

    高田幸永,今井雅,中村宏,南谷崇

    電子情報通信学会技術研究報告   VLD2008 ( 90 )   183 - 188   2008年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Performance Comparison between Self-timed Circuits and Synchronous Circuits Based on the Technology Roadmap of Semiconductors

    Masashi Imai, Takashi Nanya

    Proc. DSN08 2nd Workshop on Dependable and Secure Nanocomputing   C23 - C28   2008年06月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 共有資源の優先度制御によるチップ・マルチプロセッサの小電力化手法

    椎名公康,近藤正章,今井雅,中村宏,南谷崇

    先進的計算基盤システムシンポジウム SACSIS 2008   317 - 324   2008年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • A Design Method for 1-out-of-4 Encoded Low-Power Self-Timed Circuits using Standard Cell Libraries

    Masashi Imai, Takashi Nanya

    Proc. ACSD08   21 - 26   2008年06月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 共有資源の優先度制御によるチップ・マルチプロセッサの小電力化手法

    椎名公康, 近藤正章, 今井雅, 中村宏, 南谷崇

    先進的計算基盤システムシンポジウム SACSIS 2008   317 - 324   2008年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 非同期式回路に基づく耐劣化故障性実現に関する考察

    米田友洋,今井雅,松本敦,羽生貴弘,中村祐一

    電子情報通信学会技術研究報告   2008年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 非同期式回路に基づく耐劣化故障性実現に関する考察

    米田友洋, 今井雅, 松本敦, 羽生貴弘, 中村祐一

    電子情報通信学会技術研究報告   2008年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • プロセス変動を考慮した電流制御による低電力化手法

    金均東,今井雅,中村宏,南谷崇

    電子情報通信学会技術研究報告   VLD2007 ( 76 )   37 - 42   2007年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 共有資源の優先度と電源電圧の協調制御によるチップマルチプロセッサの省電力化

    椎名公康,近藤正章,今井雅,中村宏,南谷崇

    情報処理学会研究報告   ARC-175   83 - 88   2007年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 革新的電源制御による超低消費電力高性能システムLSIの構想

    中村宏,天野英晴,宇佐美公良,並木美太郎,今井雅,近藤正章

    情報処理学会研究報告   ARC-173   79 - 84   2007年05月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Design Method of High Performance and Low Power Functional Units Considering Delay Variations

    Kouichi Watanabe, Masashi Imai, Masaaki Kondo, Hiroshi Nakamura, Takashi Nanya

    IEICE Trans on Fundamentals   E89-A ( 12 )   3519 - 3528   2006年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 1-out-of-4符号を用いた低消費電力非同期式回路設計

    藤井智弘,今井 雅,中村 宏,南谷 崇

    電子情報通信学会技術研究報告   ICD2006 ( 82 )   19 - 24   2006年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 通信オーバーヘッドを考慮したマルチプロセッサSoC向け低消費電力化タスクスケジューリング手法

    渡辺 亮,近藤正章,今井 雅,中村 宏,南谷 崇

    情報処理学会 研究報告   ARC-169   67 - 72   2006年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 空間的に故障率が異なる計算機クラスタシステムにおけるチェックポインティング

    Miwako Azuma, Masaaki Kondo, Masashi Imai, Hiroshi Nakamura, Takashi Nanya

    電子情報通信学会論文誌D   J89-D ( 8 )   1705 - 1716   2006年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • A Novel Design Method for Asynchronous Bundled-data Transfer Circuits Considering Characteristics of Delay Variations

    Masashi Imai, Takashi Nanya

    Proc. Async2006   68 - 77   2006年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 遅延変動特性を考慮したタイミング信号設計方式に関する検討

    今井 雅,渡邊孝一,近藤正章,中村 宏,南谷 崇

    電子情報通信学会技術研究報告   VLD2005 ( 59 )   31 - 36   2005年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • bit単位の遅延変動を考慮した高性能低消費電力演算回路の設計

    渡邊孝一,今井 雅,近藤正章,中村 宏,南谷 崇

    電子情報通信学会技術研究報告   VLD2005 ( 60 )   37 - 42   2005年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • GALS型SoCの低消費電力化のためのタスクスケジューリング手法

    渡辺亮,近藤正章,今井雅,中村宏,南谷崇

    情報処理学会 研究報告   ARC-164   61 - 66   2005年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 空間的・時間的な故障率の変動を考慮したチェックポインティング手法の初期検討

    東美和子,近藤正章,今井雅,中村宏,南谷崇

    電子情報通信学会技術研究報告   DC2005 ( 14 )   7 - 12   2005年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Single Latched Scan Registers based on Multi-Clock for Low Heat Dissipation and for Low IR-Drop

    Masayuki Tsukisaka, Masashi Imai, Takashi Nanya

    Proc. ITC-CSCC2005   945 - 946   2005年07月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • A Novel Design Method using Delay-Variation-Aware Cell Libraries for Asynchronous Bundled-data Transfer Circuits

    Masashi Imai, Chikaaki Kogure, Masaaki Kondo, Hiroshi Nakamura, Takashi Nanya

    Proc. ITC-CSCC2005   441 - 442   2005年07月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 遅延変動を考慮したスタンダードセルライブラリの構築と評価

    小暮千賀明,今井雅,近藤正章,中村宏,南谷崇

    電子情報通信学会技術研究報告   VLD2004 ( 63 )   13 - 18   2004年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Skewed Checkpointing for Tolerating Multi-Node Failures

    Hiroshi Nakamura, Takuro Hayashida, Masaaki Kondo, Yuya Tajima, Masashi Imai, Takashi Nanya

    Proc. SRDS2004   116 - 125   2004年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Asynchronous scan-latch controller for low area overhead DFT

    M.Tsukisaka, M.Imai, T.Nanya

    Proc. ICCD2004   66 - 71   2004年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 多重故障を考慮した計算機クラスタ向けSkewed Checkpointingの検討

    田島裕也,林田卓郎,近藤正章,今井雅,中村宏,南谷崇

    電子情報通信学会技術研究報告   DC2004 ( 19 )   37 - 42   2004年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 非同期式データパス回路合成における性能を維持した探索空間削減手法

    川鍋昌紀,齋藤 寛,今井 雅,中村 宏,南谷 崇

    情報処理学会 DAシンポジウム2004論文集   295 - 300   2004年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 動作仕様記述からの非同期式制御回路合成手法

    齋藤 寛,川鍋昌紀,今井 雅,中村 宏,南谷 崇

    情報処理学会 DAシンポジウム2004論文集   289 - 294   2004年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Evaluation of Delay Variation in Asynchronous Circuits based on the Scalable-Delay-Insensitive Model

    Masashi Imai,Metehan Ozcan,Takashi Nanya

    Proc. Async2004   62 - 71   2004年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Synthesis of serial local clock controllers for asynchronous circuit design

    N.Sretasereekul, H.Saito, E.Kim, M.Imai, M.Ozcan, H.Nakamura, T.Nanya

    IEICE Trans on Fundamentals   E86-A12   3028 - 3037   2003年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Evaluation of Checkpointing Mechanism on SCore Cluster System

    Masaaki Kondo, Takuro Hayashida, Masashi Imai, Hiroshi Nakamura, Takashi Nanya, Atsushi Hori

    IEICE Trans on Inf.&Syst.,   E86-D ( 12 )   2553 - 2563   2003年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • セルコントローラに基づいた非同期式制御回路の合成

    齋藤 寛,川鍋昌紀,今井 雅,中村 宏,南谷 崇

    電子情報通信学会技術研究報告   VLD2003 ( 83 )   79 - 84   2003年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 非同期データパス合成における解探索空間の削減

    川鍋昌紀,齋藤 寛,今井 雅,中村 宏,南谷 崇

    電子情報通信学会技術研究報告   VLD2003 ( 82 )   73 - 78   2003年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 同期式仕様記述を用いた非同期式VLSI設計支援CADシステムの構築と評価

    今井 雅,Metehan Ozcan,小暮千賀明,齋藤 寛,中村 宏,南谷 崇

    電子情報通信学会技術研究報告   VLD2003 ( 84 )   85 - 90   2003年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Verification and Violation Correction of Timing Constraints for Gate-Level Asynchronous Circuits

    Metehan Ocan, Masashi Imai, Hiroshi Nakamura, Takashi Nanya

    Trans. of IPSJ   44 ( 5 )   1244 - 1254   2003年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Control signal sharing using data-path delay information at control data flow graph description

    H.Saito, E.Kim, N.Sretasereekul, M.Imai, H.Nakamura, T.Nanya

    Proc. Async2003   184 - 193   2003年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Control signal sharing of asynchroonous circuits using datapath delay information

    H.Saito, E.Kim. M.Imai, N.Sretasereekul, H.Nakamura, T.Nanya

    Proc. ISCAS2003   617 - 620   2003年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • A zero-time-overhead asynchronous four-phase controller

    N.Sretasereekul, H.Saito, M.Imai, E.Kim, M.Ozcan, K.Thongnoo, H.Nakamura, T.Nanya

    Proc. ISCAS2003   205 - 208   2003年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Design and Evaluation of High Performance Microprocessor with Reconfigurable On-Chip Memory

    Taku Ohneda, Masaaki Kondo, Masashi Imai, Hiroshi Nakamura

    Proc. APCCAS2002   211 - 216   2002年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Analysis on Checkpointing Mechanism of SCore Cluster System

    T.Hayashida, M.Kondo, M.Imai, H.Nakamura, T.Nanya, and A.Hori

    Fastabstract of IEEE PRDC02   1 - 2   2002年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Flexible Partitioning of CDFGs for Compact Asynchronous Controllers

    Nattha Sretasereekul, Y. Okuyama, H. Saito, M. Imai, K. Kuroda, T. Nanya

    Proc. International Technical Conference on Circuits/Systems, Computers and Communications   1724 - 1727   2002年07月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Generation and Verification of Timing Constraints for Fine-Grain Pipelined Asynchrounous Data-Path Circuits

    Metehan Ozcan, Masashi Imai, Takashi Nanya

    Proc. Async2002   109 - 114   2002年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Prospect of a stanniocalcin endocrine/paracrine system in mammals.

    Ishibashi K, Imai M

    American journal of physiology. Renal physiology   282 ( 3 )   F367 - 75   2002年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    PubMed

  • 遅延情報を利用した非同期式VLSI設計の一手法の提案

    今井 雅,南谷 崇

    電子情報通信学会技術研究報告   VLD2001 ( 120 )   51 - 57   2001年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Performance evaluation of Cascade ALU architecture for asynchronous super-scalar processors

    Motokazu Ozawa, Masashi Imai, Yoichiro Ueno, Hiroshi Nakamura, Takashi Nanya

    Proc. Async2001   162 - 172   2001年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • A Cascade ALU Architecture for Asynchronous Super-Scalar Processors

    Motokazu Ozawa, Masashi Imai, Yoichiro Ueno, Hiroshi Nakamura, Takashi Nanya

    IEICE Trans. on Electronics   E84-C ( 2 )   229 - 237   2001年02月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 遅延情報を利用した非同期式RTL設計モデルの提案

    今井 雅,南谷 崇

    電子情報通信学会技術研究報告   VLD2000 ( 92 )   137 - 142   2000年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 2線式ドミノ論理による細粒度パイプライン・データパスの性能比較

    今井 雅,南谷 崇

    電子情報通信学会技術研究報告   CPSY99 ( 93 )   73 - 80   1999年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • SD符号を用いた非同期式高速除算器

    中野栄治,今井 雅,中村 宏,南谷 崇

    電子情報通信学会技術研究報告   CPSY99 ( 9 )   21 - 28   1999年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Scalable-Delay-Insensitive Design: A high-performance approach to dependable asynchronous systems

    Takashi Nanya, Akihiro Takamura, Masashi Kuwako, Masashi Imai, Motokazu Ozawa, Metehan Ozcan, Rafael Morizawa, Hiroshi Nakamura

    Proc. International Symposium on Future of Intellectual Integrated Electronics   531 - 540   1999年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 符号確定位置を考慮した非同期式SD除算器の設計と評価

    中野栄治,今井 雅,中村 宏,南谷 崇

    情報処理学会第58会全国大会−講演論文集(1)   51 - 52   1999年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Layout Methodology for SDI Model Asynchronous Circuits

    Metehan Ozcan, Masashi Imai, Hiroshi Nakamura, Takashi Nanya

    情報処理学会第58会全国大会−講演論文集(1)   45 - 46   1999年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • データの符号化を考慮した非同期式データパスの評価

    今井 雅,福田伸樹,中村 宏,南谷 崇

    情報処理学会第58回全国大会−講演論文集(1)   47 - 48   1999年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • DCVSLを使用した非同期式細粒度パイプライン・データパスの論理合成

    今井 雅,中村 宏,南谷 崇

    電子情報通信学会技術研究報告   CPSY98   47 - 54   1998年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 非同期式プロセッサにおける複合演算の効果

    今井 雅,中村 宏,南谷 崇

    情報処理学会第56回全国大会−講演論文集(1)   139 - 140   1998年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Issues in the floor planning and layout of asynchronous VLSI systems

    Metehan Ozcan,今井 雅,中村 宏,南谷 崇

    情報処理学会第56回全国大会−講演論文集(1)   127 - 128   1998年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • TITAC-2: An asynchronous 32-bit microprocessor

    Akihiro Takamura, Masashi Imai, Motokazu Ozawa, Izumi Fukasaku, Taro Fujii, Masashi Kuwako, Yoichiro Ueno, Takashi Nanya

    Proc. ASP-DAC98   319 - 320   1998年02月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • TITAC-2: A 32-bit Asynchnorouns Microprocessor based on Scalable-Delay-Insensitive Model

    Akihiro Takamura, Masashi Kuwako, Masashi Imai, Taro Fujii, Motokazu Ozawa, Izumi Fukasaku, Yoichiro Ueno, Takashi Nanya

    Proc. ICCD97   288 - 294   1997年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • TITAC-2: A 32-bit Scalable-Delay-Insensitive Microprocessor

    Takashi Nanya, Akihiro Takamura, Masahi Kuwako, Masashi Imai, Taro Fujii, Motokazu Ozawa, Izumi Fukasaku, Yoichiro Ueno, F. Okamoto, H. Fujimoto, O. Fujita, M. Yamashina, M. Fukuma.

    Proc. HOT Chips IX   19 - 32   1997年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 配線遅延を考慮した非同期式加算回路の性能評価

    今井 雅,南谷 崇

    電子情報通信学会技術研究報告   ICD97   9 - 16   1997年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • レイアウトデータに基づく非同期式加算回路の性能比較

    今井 雅,藤井太郎,上野洋一郎,南谷 崇

    情報処理学会第54回全国大会−講演論文集(1)   133 - 134   1997年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 非同期式プロセッサTITAC-2のALU構成

    藤井太郎,今井 雅,池田吉郎,石田伯仁,西川慎哉,上野洋一郎,南谷 崇

    情報処理学会第54回全国大会−講演論文集(1)   97 - 98   1997年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 非同期式乗算器の設計と試作

    今井 雅,藤井太郎,上野洋一郎,南谷 崇

    電子情報通信学会技術研究報告   ICD96   33 - 40   1996年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Concurrent error detection for Asynchronous Circuits using Current Sensing Techniques

    Ravi Kishore,今井 雅,南谷 崇

    電子情報通信学会春期大会   1996年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • BDD表現からの非同期式組合せ回路の構成法

    上野洋一郎,今井 雅,南谷 崇

    電子情報通信学会技術研究報告   CPSY95 ( 11 )   1995年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

▼全件表示

書籍等出版物

  • 電子情報工学実験II

    今井雅, 金本俊幾, 黒川敦, 渡邊良祐, 豊田淳平( 担当: 共著)

    弘前大学出版会  2023年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論

  • 電子情報工学実験II 2022年度版

    今井雅, 金本俊幾, 黒川敦, 渡邊良祐, 豊田淳平( 担当: 共著)

    弘前大学出版会  2022年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論

  • 電子情報工学実験II 2021年度版

    今井雅, 金本俊幾, 黒川敦, 渡邊良祐, 豊田淳平( 担当: 共著)

    弘前大学出版会  2021年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論

  • 電子情報工学実験II 2020年度版

    今井雅, 金本俊幾, 黒川敦, 渡邊良祐, 豊田淳平( 担当: 共著)

    弘前大学出版会  2020年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論

  • 電子情報工学実験II 2019年度版

    今井雅, 金本俊幾, 黒川敦, 渡邊良祐, 豊田淳平( 担当: 共著)

    弘前大学出版会  2019年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論

  • 電子情報工学実験II 2018年度版

    今井雅, 金本俊幾, 黒川敦, 渡邊良祐, 豊田淳平( 担当: 共著)

    弘前大学出版会  2018年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論

▼全件表示

総説・短報・翻訳等

  • 多重化CPUコアの故障検出と再構成手法

    今井雅, 米田友洋

    日本信頼性学会誌   35 ( 8 )   514 - 514   2013年12月

     詳細を見る

    記述言語:日本語   掲載種別:記事・総説・解説・論説等(その他)   出版者・発行元:日本信頼性学会   共著区分:共著  

  • 非同期式ネットワークオンチップ

    米田友洋, 今井

    日本信頼性学会誌   35 ( 8 )   482 - 482   2013年12月

     詳細を見る

    記述言語:日本語   掲載種別:記事・総説・解説・論説等(その他)   出版者・発行元:日本信頼性学会   共著区分:共著  

  • 解説「アミュレット(マンチェスター大)の挑戦」

    今井 雅,南谷 崇

    日経エレクトロニクス   1998年4月

     詳細を見る

    記述言語:日本語   掲載種別:記事・総説・解説・論説等(商業誌、新聞、ウェブメディア)   出版者・発行元:日経   共著区分:共著  

受賞

  • The Best Paper Prize

    2015年11月

  • 山下記念研究賞

    2015年03月

  • THE Encouragement PRIZE

    2014年12月

  • THE Encouragement PRIZE

    2014年12月

  • 第1回 LSI IPデザイン・アワード IP賞

    1999年05月

  • ASPDAC1998 Outstanding Design Award

    1998年02月

  • 第5回ASICデザイン・オブ・ザ・イヤー グランプリ

    1998年01月

  • 第1回システムLSI琵琶湖ワークショップ 最優秀ポスター賞

    1997年11月

▼全件表示

担当授業科目(学内)

  • 2023年度,ICT実践演習,専門教育科目(学部)

  • 2023年度,卒業研究(電子情報工学科),専門教育科目(学部)

  • 2023年度,理工系の数学B,専門教育科目(学部)

  • 2023年度,科学技術英語,専門教育科目(学部)

  • 2023年度,組込みシステム基礎演習,専門教育科目(学部)

  • 2023年度,組込みシステム応用,専門教育科目(学部)

  • 2023年度,電子制御工学,専門教育科目(学部)

  • 2023年度,電子情報工学実験II,専門教育科目(学部)

  • 2023年度,電子情報工学最先端,専門教育科目(学部)

  • 2023年度,電子情報工学概論,専門教育科目(学部)

  • 2023年度,電子情報工学演習A,専門教育科目(学部)

  • 2023年度,電子情報工学演習B,専門教育科目(学部)

  • 2023年度,ディペンダブルシステム特論,修士課程・博士前期課程

  • 2023年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2023年度,基礎ゼミナール【S電子】,教養教育科目

  • 2022年度,ICT実践演習,専門教育科目(学部)

  • 2022年度,卒業研究(電子情報工学科),専門教育科目(学部)

  • 2022年度,理工系の数学B,専門教育科目(学部)

  • 2022年度,科学技術英語,専門教育科目(学部)

  • 2022年度,組込みシステム基礎演習,専門教育科目(学部)

  • 2022年度,組込みシステム応用,専門教育科目(学部)

  • 2022年度,電子制御工学,専門教育科目(学部)

  • 2022年度,電子情報工学実験II,専門教育科目(学部)

  • 2022年度,電子情報工学最先端,専門教育科目(学部)

  • 2022年度,電子情報工学概論,専門教育科目(学部)

  • 2022年度,電子情報工学演習A,専門教育科目(学部)

  • 2022年度,電子情報工学演習B,専門教育科目(学部)

  • 2022年度,ディペンダブルシステム特論,修士課程・博士前期課程

  • 2022年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2021年度,卒業研究,専門教育科目(学部)

  • 2021年度,理工系の数学B,専門教育科目(学部)

  • 2021年度,科学技術英語,専門教育科目(学部)

  • 2021年度,組込みシステム基礎演習,専門教育科目(学部)

  • 2021年度,組込みシステム応用,専門教育科目(学部)

  • 2021年度,電子制御工学,専門教育科目(学部)

  • 2021年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2021年度,電子情報工学最先端,専門教育科目(学部)

  • 2021年度,電子情報工学概論,専門教育科目(学部)

  • 2021年度,電子情報工学演習A,専門教育科目(学部)

  • 2021年度,電子情報工学演習B,専門教育科目(学部)

  • 2021年度,ICT実践演習,専門教育科目(学部)

  • 2021年度,ディペンダブルシステム特論,修士課程・博士前期課程

  • 2021年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2020年度,卒業研究,専門教育科目(学部)

  • 2020年度,理工系の数学B,専門教育科目(学部)

  • 2020年度,科学技術英語,専門教育科目(学部)

  • 2020年度,組込みシステム基礎演習,専門教育科目(学部)

  • 2020年度,組込みシステム応用,専門教育科目(学部)

  • 2020年度,電子制御工学,専門教育科目(学部)

  • 2020年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2020年度,電子情報工学最先端,専門教育科目(学部)

  • 2020年度,電子情報工学概論,専門教育科目(学部)

  • 2020年度,電子情報工学演習A,専門教育科目(学部)

  • 2020年度,電子情報工学演習B,専門教育科目(学部)

  • 2020年度,ICT実践演習,専門教育科目(学部)

  • 2020年度,ディペンダブルシステム特論,修士課程・博士前期課程

  • 2020年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2020年度,基礎ゼミナール,教養教育科目

  • 2019年度,卒業研究,専門教育科目(学部)

  • 2019年度,理工系の数学B,専門教育科目(学部)

  • 2019年度,科学技術英語,専門教育科目(学部)

  • 2019年度,組込みシステム基礎演習,専門教育科目(学部)

  • 2019年度,組込みシステム応用,専門教育科目(学部)

  • 2019年度,電子制御工学,専門教育科目(学部)

  • 2019年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2019年度,電子情報工学最先端,専門教育科目(学部)

  • 2019年度,電子情報工学概論,専門教育科目(学部)

  • 2019年度,電子情報工学演習A,専門教育科目(学部)

  • 2019年度,電子情報工学演習B,専門教育科目(学部)

  • 2019年度,ICT実践演習,専門教育科目(学部)

  • 2019年度,ディペンダブルシステム特論,修士課程・博士前期課程

  • 2019年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2018年度,ICT実践演習,専門教育科目(学部)

  • 2018年度,ハードウェア設計演習,専門教育科目(学部)

  • 2018年度,制御工学,専門教育科目(学部)

  • 2018年度,理工系の数学B,専門教育科目(学部)

  • 2018年度,科学技術英語,専門教育科目(学部)

  • 2018年度,組込みシステム基礎演習,専門教育科目(学部)

  • 2018年度,組込みシステム応用,専門教育科目(学部)

  • 2018年度,電子制御工学,専門教育科目(学部)

  • 2018年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2018年度,電子情報工学最先端,専門教育科目(学部)

  • 2018年度,電子情報工学概論,専門教育科目(学部)

  • 2018年度,電子情報工学演習A,専門教育科目(学部)

  • 2018年度,電子情報工学演習B,専門教育科目(学部)

  • 2018年度,ディペンダブルシステム特論,修士課程・博士前期課程

  • 2018年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2018年度,高信頼LSI論理設計特論,博士一貫課程・博士後期課程

  • 2017年度,制御工学,専門教育科目(学部)

  • 2017年度,卒業研究,専門教育科目(学部)

  • 2017年度,理工系の数学B,専門教育科目(学部)

  • 2017年度,科学技術英語,専門教育科目(学部)

  • 2017年度,組込みシステム基礎演習,専門教育科目(学部)

  • 2017年度,組込みシステム設計,専門教育科目(学部)

  • 2017年度,電子情報工学全体講義,専門教育科目(学部)

  • 2017年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2017年度,電子情報工学実験Ⅲ,専門教育科目(学部)

  • 2017年度,電子情報工学概論,専門教育科目(学部)

  • 2017年度,電子情報工学演習A,専門教育科目(学部)

  • 2017年度,電子情報工学演習B,専門教育科目(学部)

  • 2017年度,電子情報工学英語演習,専門教育科目(学部)

  • 2017年度,ディペンダブルシステム特論,修士課程・博士前期課程

  • 2017年度,理工学特別演習A,修士課程・博士前期課程

  • 2017年度,理工学特別演習B,修士課程・博士前期課程

  • 2017年度,理工学特別研究A,修士課程・博士前期課程

  • 2017年度,理工学特別研究B,修士課程・博士前期課程

  • 2017年度,電子情報工学特別研究A,修士課程・博士前期課程

  • 2017年度,電子情報工学特別研究B,修士課程・博士前期課程

  • 2017年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2016年度,コンピュータアーキテクチャ,専門教育科目(学部)

  • 2016年度,ハードウェア設計,専門教育科目(学部)

  • 2016年度,ハードウェア設計演習,専門教育科目(学部)

  • 2016年度,制御工学,専門教育科目(学部)

  • 2016年度,卒業研究,専門教育科目(学部)

  • 2016年度,理工系の数学B,専門教育科目(学部)

  • 2016年度,組込みシステム設計,専門教育科目(学部)

  • 2016年度,電子情報工学全体講義,専門教育科目(学部)

  • 2016年度,電子情報工学実験Ⅲ,専門教育科目(学部)

  • 2016年度,電子情報工学概論,専門教育科目(学部)

  • 2016年度,電子情報工学演習A,専門教育科目(学部)

  • 2016年度,電子情報工学演習B,専門教育科目(学部)

  • 2016年度,電子情報工学英語演習,専門教育科目(学部)

  • 2016年度,ディペンダブルシステム特論,修士課程・博士前期課程

  • 2016年度,理工学特別演習A,修士課程・博士前期課程

  • 2016年度,理工学特別演習B,修士課程・博士前期課程

  • 2016年度,理工学特別研究A,修士課程・博士前期課程

  • 2016年度,理工学特別研究B,修士課程・博士前期課程

  • 2016年度,電子情報工学特別研究A,修士課程・博士前期課程

  • 2016年度,電子情報工学特別研究B,修士課程・博士前期課程

  • 2016年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2016年度,基礎ゼミナール,教養教育科目

  • 2015年度,数学の基礎Ⅱ(B),21世紀教育科目

  • 2015年度,コンピュータアーキテクチャ,専門教育科目(学部)

  • 2015年度,ハードウェア設計,専門教育科目(学部)

  • 2015年度,ハードウェア設計演習,専門教育科目(学部)

  • 2015年度,制御工学,専門教育科目(学部)

  • 2015年度,卒業研究,専門教育科目(学部)

  • 2015年度,電子情報工学全体講義,専門教育科目(学部)

  • 2015年度,電子情報工学大系,専門教育科目(学部)

  • 2015年度,電子情報工学実験Ⅲ,専門教育科目(学部)

  • 2015年度,電子情報工学演習A,専門教育科目(学部)

  • 2015年度,電子情報工学演習B,専門教育科目(学部)

  • 2015年度,電子情報工学英語演習,専門教育科目(学部)

  • 2015年度,ディペンダブルシステム特論,修士課程・博士前期課程

  • 2015年度,理工学特別演習A,修士課程・博士前期課程

  • 2015年度,理工学特別演習B,修士課程・博士前期課程

  • 2015年度,理工学特別研究A,修士課程・博士前期課程

  • 2015年度,理工学特別研究B,修士課程・博士前期課程

  • 2015年度,電子情報工学特別研究A,修士課程・博士前期課程

  • 2015年度,電子情報工学特別研究B,修士課程・博士前期課程

  • 2015年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2014年度,数学の基礎Ⅱ(B),21世紀教育科目

  • 2014年度,コンピュータアーキテクチャ,専門教育科目(学部)

  • 2014年度,ハードウェア設計,専門教育科目(学部)

  • 2014年度,ハードウェア設計演習,専門教育科目(学部)

  • 2014年度,制御工学,専門教育科目(学部)

  • 2014年度,卒業研究,専門教育科目(学部)

  • 2014年度,電子情報工学全体講義,専門教育科目(学部)

  • 2014年度,電子情報工学大系,専門教育科目(学部)

  • 2014年度,電子情報工学実験Ⅲ,専門教育科目(学部)

  • 2014年度,電子情報工学演習A,専門教育科目(学部)

  • 2014年度,電子情報工学演習B,専門教育科目(学部)

  • 2014年度,電子情報工学英語演習,専門教育科目(学部)

  • 2014年度,ディペンダブルシステム特論,修士課程・博士前期課程

  • 2014年度,理工学特別演習A,修士課程・博士前期課程

  • 2014年度,理工学特別演習B,修士課程・博士前期課程

  • 2014年度,理工学特別研究A,修士課程・博士前期課程

  • 2014年度,理工学特別研究B,修士課程・博士前期課程

  • 2014年度,電子情報工学特別研究A,修士課程・博士前期課程

  • 2014年度,電子情報工学特別研究B,修士課程・博士前期課程

  • 2014年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2013年度,基礎ゼミナール,21世紀教育科目

  • 2013年度,数学の基礎Ⅱ(B),21世紀教育科目

  • 2013年度,ハードウェア設計,専門教育科目(学部)

  • 2013年度,ハードウェア設計演習,専門教育科目(学部)

  • 2013年度,制御工学,専門教育科目(学部)

  • 2013年度,卒業研究,専門教育科目(学部)

  • 2013年度,電子情報工学全体講義,専門教育科目(学部)

  • 2013年度,電子情報工学大系,専門教育科目(学部)

  • 2013年度,電子情報工学実験Ⅲ,専門教育科目(学部)

  • 2013年度,電子情報工学演習A,専門教育科目(学部)

  • 2013年度,電子情報工学演習B,専門教育科目(学部)

  • 2013年度,電子情報工学英語演習,専門教育科目(学部)

  • 2013年度,ディペンダブルシステム特論,修士課程・博士前期課程

  • 2013年度,理工学特別演習A,修士課程・博士前期課程

  • 2013年度,理工学特別演習B,修士課程・博士前期課程

  • 2013年度,理工学特別研究A,修士課程・博士前期課程

  • 2013年度,理工学特別研究B,修士課程・博士前期課程

  • 2013年度,電子情報工学特別研究A,修士課程・博士前期課程

  • 2013年度,電子情報工学特別研究B,修士課程・博士前期課程

  • 2013年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2012年度,ハードウェア設計,専門教育科目(学部)

  • 2012年度,ハードウェア設計演習,専門教育科目(学部)

  • 2012年度,制御工学,専門教育科目(学部)

  • 2012年度,卒業研究,専門教育科目(学部)

  • 2012年度,電子情報工学全体講義,専門教育科目(学部)

  • 2012年度,電子情報工学大系,専門教育科目(学部)

  • 2012年度,電子情報工学実験Ⅲ,専門教育科目(学部)

  • 2012年度,電子情報工学演習A,専門教育科目(学部)

  • 2012年度,電子情報工学演習B,専門教育科目(学部)

  • 2012年度,電子情報工学英語演習,専門教育科目(学部)

  • 2012年度,ディペンダブルシステム特論,修士課程・博士前期課程

  • 2012年度,電子情報工学特別講義,修士課程・博士前期課程

▼全件表示