2024/03/25 更新

写真b

カナモト トシキ
金本 俊幾
Toshiki Kanamoto
所属
理工学研究科 研究部

学位

  • 博士(情報科学)

  • 理学修士

  • 理学士

研究キーワード

  • 半導体デバイス

  • 半導体集積回路

  • IoT

  • 組込みシステム

研究分野

  • ものづくり技術(機械・電気電子・化学工学) / 電子デバイス、電子機器

  • 情報通信 / 計算機システム

所属学協会

  • 米国電気電子学会(IEEE)

  • 電子情報通信学会

  • 情報処理学会

  • 電子情報技術産業協会

  • 電子情報通信学会

  • 米国電気電子学会

  • 情報処理学会

▼全件表示

自己PR

  • (連携キーワード)

    組込みシステム、IoT、半導体集積回路、半導体デバイス

    (研究概要)

    微細化、高機能化する半導体集積回路の物理設計・検証、および組込みシステムへの実装に関する研究を行っています。

    集積回路の物理設計・検証に関しては、最先端のマルチゲート10nm世代に至る微細プロセス、LSIに搭載するRF回路、パワーMOS FETのモデリング、熱回路シミュレーションをカバーします。

    また、組込みシステムへの実装における課題も扱っています。 具体的には高速SoC(System on a Chip)やPowerMOS混載SoCの、パッケージ、ボード等からなるシステムへの実装に関して、LSI周囲を含めた熱や応力、ノイズ伝播をシームレスに扱う物理設計・検証の研究を行います。 これにより、最先端SoCおよびその搭載システムの安全性、信頼性、性能向上に貢献することができます。

    さらに、IoT(Internet of Things)を指向するシステムにおいて、LSIに搭載される電力変換回路やADC、PLL等のアナログ回路の設計は、低電圧化に伴う周辺からのノイズや低温下における特性変動の影響を受け、ますます困難になってきています。 この観点から、アナログ回路におけるノイズ、低温特性のモデリングと、それらを克服する設計技術の研究を行っています。

    本研究室では、これら最適化されたデバイスを実装した組込みシステムに関して、生態系監視や生体適用等の応用に繋がる研究も行っています。

    (協力できる内容)

    センサノード、センサネットワーク、半導体集積回路、半導体デバイス

    (PR)

    専門は半導体集積回路のデバイスモデリングと組込みシステムへの応用です。センサーと通信機能を有する物がインターネットを介して連携する、いわゆる IoT(Internet of Things)に向け、冬の白神山地のような厳しい環境下でも
    確実に動作するスマート組込みシステムなど、地域に即した研究を進めていきたいと思っております。どうぞよろしくお願いいたします。

論文

  • Transmitting coil for uniform magnetic flux density

    Tatsumu Mitsuhashi, Toshiki Kanamoto, Koutaro Hachiya, Atsushi Kurokawa

    Workshop on Synthesis And System Integration of Mixed Information technologies   2024   1 - 6   2024年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Optimal inner diameter of single-layer planar spiral coils

    Kotaro Terada, Koutaro Hachiya, Toshiki Kanamoto, Atsushi Kurokawa

    Workshop on Synthesis And System Integration of Mixed Information technologies   2024   1 - 6   2024年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • RESURF Structure optimization of SiC trench MOSFET using machine learning

    Tomoya Akasaka, Ichirota Takazawa, Seria Kasai, Atsushi Kurokawa, Toshiki Kanamoto,

    Workshop on Synthesis And System Integration of Mixed Information technologies   2024   1 - 6   2024年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Energy reduction of health monitoring processor by optimizing supply and back-gate voltages with simulated annealing

    Seria Kasai, Yamato Ishida, Fumiya Sano, Tomoya Akasaka, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, Masashi Imai, Atsushi Kurokawa, Toshiki Kanamoto

    Workshop on Synthesis And System Integration of Mixed Information technologies   2024   1 - 6   2024年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • EMCを考慮したプロセッサ実装基板の設計に関する研究

    本田悟, 石田大和, 佐野文也, 葛西瀬梨亜, 松村哲哉, 高澤一朗太, 金本俊幾

    情報処理学会東北支部研究報告   2023-7 ( 1-4 )   1 - 4   2024年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Compensation capacitance tunings of wireless power transfer systems using artificial neural network

    Kai Sato, Koutaro Hachiya, Toshiki Kanamoto, Atsushi Kurokawa

    IEICE Communications Express   2023年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

  • LoRa Based Wireless Sensor Network for Bus Tracking System in Contoured Castle Town

    Fumiya Sano, Kouki Fukuda, Shinji Kubo, Sumio Tanba, Yamato Ishida, Atsushi Kurokawa, Toshiki Kanamoto

    GCCE   224 - 225   2023年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • LSIの放射性エミッションノイズモデリングに向けたTEG設計

    石田大和, 佐野文也, 葛西瀬梨亜, 神谷浩, 松村哲哉, 今村幸祐, 金本俊幾

    DAシンポジウム2023   2022-7 ( 5-3 )   1 - 4   2023年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 世界自然遺産に設置するための気象・地象・生態系センサノードの 開発

    葛西瀬梨亜,石田大和,金本俊幾

    弘前大学白神研究会研究報告会   2022-7 ( 5-3 )   1 - 4   2023年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • LoRaにおける通信条件

    鈴木駿輔,金本俊幾

    情報処理学会東北支部研究報告   2022-7 ( 5-3 )   1 - 4   2023年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • トレンチ型SiC-MOSFETの素子構造推定

    工藤歩華,宗形恒夫

    情報処理学会東北支部研究報告   2022-7 ( 5-6 )   1 - 4   2023年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • オンチップデカップリング容量の最適化と評価方法の提案

    石田大和,宗形恒夫,松村哲哉,金本俊幾

    情報処理学会東北支部研究報告   2022-7 ( 5-5 )   1 - 4   2023年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • UAVの消費エネルギー低減に向けた評価

    上野颯太,金本俊幾

    情報処理学会東北支部研究報告   2022-7 ( 5-4 )   1 - 4   2023年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • A Thermally Optimizing Method of Thin Film Resistor Trimming with Machine Learning

    Kyosuke Kusumi, Koutaro Hachiya, Ryotaro Kudo, Toshiki Kanamoto, Atsushi Kurokawa

    IEICE ELECTRONICS EXPRESS   2023年02月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

  • Electronic component placement optimization for heat measures of smartglasses

    Kyosuke Kusumi, Koutaro Hachiya, Ryotaro Kudo, Toshiki Kanamoto, Atsushi Kurokawa

    IEICE ELECTRONICS EXPRESS   2023年02月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

  • Bayesian Neural Network Based Inductance Calculations of Wireless Power Transfer Systems

    Kai Sato, Toshiki Kanamoto, Ryotaro Kudo, Koutaro Hachiya, Atsushi Kurokawa

    IEICE ELECTRONICS EXPRESS   2023年02月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

  • A Parabolic Spiral Coil Transmitter with Uniform Magnetic Field for Smart Devices

    Ryotaro Kudo, Koutaro Hachiya, Toshiki Kanamoto, Atsushi Kurokawa

    IEICE ELECTRONICS EXPRESS   20 ( 1 )   20220492 - 20220492   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

  • A Bernoulli Spiral Coil Transmitter for Charging Various Small Electronic Devices

    Ryotaro Kudo, Koutaro Hachiya, Toshiki Kanamoto, Atsushi Kurokawa

    IEICE ELECTRONICS EXPRESS   19 ( 23 )   20220419 - 20220419   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

  • A thermally optimizing method of thin film resistor trimming with machine learning

    Tomoya Akasaka, Shigeru Hidaka, Ryosuke Watanabe, Taisei Arima, Atsushi Kurokawa, and Toshiki Kanamoto

    Workshop on Synthesis And System Integration of Mixed Information technologies   2022   1 - 6   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Heating of foreign object in inductive wireless charging

    Issei Sato, Ryotaro Kudo, Toshiki Kanamoto, Koutaro Hachiya, Shinsuke Kashiwazaki, and Atsushi Kurokawa

    Workshop on Synthesis And System Integration of Mixed Information technologies   2022   1 - 6   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Electronic component placement optimization for heat measures of smartglasses

    Kyosuke Kusumi, Koutaro Hachiya, Ryotaro Kudo, Toshiki Kanamoto, and Atsushi Kurokawa

    Workshop on Synthesis And System Integration of Mixed Information technologies   2022   1 - 6   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Deep Neural Network Based Inductance Calculations of Wireless Power Transfer Systems.

    Kai Sato, Toshiki Kanamoto, Ryotaro Kudo, Koutaro Hachiya, Atsushi Kurokawa

    GCCE   222 - 223   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • A Parabolic Spiral Coil Transmitter for Charging Multiple Receivers.

    Ryotaro Kudo, Koutaro Hachiya, Toshiki Kanamoto, Atsushi Kurokawa

    GCCE   224 - 225   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • パターン密度均一化に貢献するオンチップデカップリング容量セルの提案

    岡巧, 葛西瀬梨亜, 石田大和, 佐野文也, 今井雅, 金本俊幾

    DAシンポジウム2022   88 - 92   2022年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Enhanced laser trimming of thin film resistors dedicated to snubber for high power IGBT modules

    Ryosuke Watanabe, Shigeru Hidaka, Tomoya Akasaka, Shota Kajiya, Taisei Arima, Atsushi Kurokawa, Toshiki Kanamoto

    2022 IEEE 65th International Midwest Symposium on Circuits and Systems (MWSCAS)   1 - 4   2022年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

    CiNii Research

  • 磁界結合型ワイヤレス電力伝送システムにおける異物の発熱

    佐藤一世, 金本俊幾, 黒川敦

    電気学会 全国大会 講演論文集   1 - 4   2022年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • リング状容量性カプラを用いた電力伝送システムの特性解析

    柏崎晋助, 金本俊幾, 黒川敦

    電気学会 全国大会 講演論文集   1 - 4   2022年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 複数装置を充電可能な放物螺旋コイルの送電機

    工藤遼太朗, 金本俊幾, 黒川敦

    電気学会 全国大会 講演論文集   1 - 4   2022年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • オンチップインピーダンスのモデリング

    佐野文也,金本俊幾

    情報処理学会東北支部研究報告   2021-6 ( 5-4 )   1 - 4   2022年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ドローンの動作最適化による消費エネルギーの低減

    武田朋弥,丹波澄雄,藤崎和弘,金本俊幾

    情報処理学会東北支部研究報告   2021-6 ( 5-1 )   1 - 4   2022年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 薄膜抵抗器のトリミング形状に対する機械学習 を用いた熱的最適化

    赤坂知哉,梶谷翔太,渡邊良祐,有馬大生,金本俊幾

    情報処理学会東北支部研究報告   2021-6 ( 5-2 )   1 - 4   2022年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 低消費エネルギープロセッサのSoC物理設計

    葛西瀬梨亜,畠山寛,今井雅,黒川敦,金本俊幾

    情報処理学会東北支部研究報告   2021-6 ( 5-3 )   1 - 4   2022年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Receiver coil built into belt for heat dissipation of watch-type smart devices

    Shinsuke Kashiwazaki, Koutaro Hachiya, Toshiki Kanamoto, Ryosuke Watanabe, Atsushi Kurokawa

    Electronics Express   19 ( 3 )   20210497 - 20210497   2022年02月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

  • Planar Single-Coil Transmitters for Efficiently Charging Smart Devices of Various Receiving Coil Sizes

    Ryotaro Kudo, Koutaro Hachiya, Toshiki Kanamoto, Atsushi Kurokawa

    the International Conference on Emerging Technologies for Communications(ICETC)   68   1 - 5   2021年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

    CiNii Research

  • An Energy Efficient Processor Applicable to Continuous SPO2 Monitoring

    Toshiki Kanamoto, Kan Hatakeyama, Seria Kasai, Masashi Imai, Atsushi Kurokawa, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara

    the IEEE Global Conference on Consumer Electronics(GCCE)   1 - 2   2021年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Energy efficient RISC-V processor for portable sensor applications

    Kan Hatakeyama, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, Masashi Imai, Atsushi Kurokawa, and Toshiki Kanamoto

    Workshop on Synthesis And System Integration of Mixed Information technologies   2021   1 - 4   2021年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Using receiver coils for dissipating heat of watch-type smart devices

    Shinsuke Kashiwazaki, Kodai Matsuhashi, Motoki Ishizaki, Toshiki Kanamoto, Koutaro Hachiya, Ryosuke Watanabe, and Atsushi Kurokawa

    Workshop on Synthesis And System Integration of Mixed Information technologies   2021   1 - 6   2021年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Thermal design technology for non-low power hearables

    Kodai Matsuhashi, Koutaro Hachiya, Toshiki Kanamoto, Masashi Imai, and Atsushi Kurokawa

    Workshop on Synthesis And System Integration of Mixed Information technologies   2021   1 - 6   2021年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Thermally optimization of the trimming shape of thin film NiCr resistors to improve pulse durability

    Ryosuke Watanabe, Keita Izawa, Shota Kajiya, Tomohiro Aoba, Ryo Arima, Atsushi Kurokawa, and Toshiki Kanamoto

    Workshop on Synthesis And System Integration of Mixed Information technologies   2021   1 - 6   2021年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Effective methods to promote heat dissipation of wrist wearables

    Kodai Matsuhashi, Koutaro Hachiya, Toshiki Kanamoto, Shinsuke Kashiwazaki, Kyosuke Kusumi, Atsushi Kurokawa

    Electronics Express   18 ( 5 )   20210017 - 20210017   2021年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

  • ヒアラブルデバイスの熱回路モデルと解析

    松橋功大,今井雅,金本俊幾,黒川敦,

    情報処理学会東北支部研究報告   2020-6 ( 2-1 )   1 - 4   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • LSI・パッケージ・ボード協調設計に向けたオンチップインピーダンス抽出

    岡巧,黒川敦,今井雅,金本俊幾

    情報処理学会東北支部研究報告   2020-6 ( 1-4 )   1 - 4   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • TOFカメラを用いた魚体重推定

    及川大樹,野村哲哉,丹波澄雄,黒川敦,今井雅,金本俊幾

    情報処理学会東北支部研究報告   2020-6 ( 1-3 )   1 - 4   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 耐パルス性NiCr薄膜抵抗器のトリミング形状に対する熱的最適化

    有馬諒,梶谷翔太,伊澤敬太,渡邊良祐,青葉智寛,黒川敦,今井雅,金本俊幾

    情報処理学会東北支部研究報告   2020-6 ( 1-2 )   1 - 4   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • データシートを用いたトレンチ型IGBTの素子構造推定

    有馬大生,宗形恒夫,黒川敦,今井雅,金本俊幾

    情報処理学会東北支部研究報告   2020-6 ( 1-1 )   1 - 4   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • A Virtual Optical Holographic Encryption System Using Expanded Diffie-Hellman Algorithm

    Yang. Peng, Tomoyuki. Nagase, Toshiki. Kanamoto, Tsutomu. Zeniya and Shan. You

    IEEE Access   9   22071 - 2077   2021年02月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

  • A VOHE System for Underwater Communications

    Yang Peng, Tomoyuki Nagase, Shan You, and Toshiki Kanamoto

    Electronics   9 ( 10 )   1557 - 1557   2020年09月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

  • A simple yet precise capacitance estimation method for on-chip power delivery network towards EMC analysis

    Toshiki Kanamoto, Koki Kasai, Kan Hatakeyama, Atsushi Kurokawa, Tomoyuki Nagase, Masashi Imai

    IEICE Electronics Express   17 ( 14 )   20200198 - 20200198   2020年07月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

  • An Optical Encryption Digital Holographic System Based on RSA Algorithm

    Yang Peng, Tomoyuki Nagase and Toshiki Kanamoto

    INFORMATION   Vol.23 ( No.2 )   139 - 148   2020年06月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Effective thermal modeling of a thin film snubber resistor for power modules

    Ryosuke Watanabe, Keita Izawa, Shota Kajiya, Daiki Tsunemoto, Koki Kasai, Atsushi Kurokawa, Toshiki Kanamoto

    Nonlinear Theory and Its Applications, IEICE   11 ( 2 )   253 - 266   2020年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

  • Thermal model and countermeasures for future smart glasses

    Kodai Matsuhashi, Toshiki Kanamoto, Atsushi Kurokawa *

    Sensors   20 ( 5 )   1 - 20   2020年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    PubMed

  • シミュレーションベースオンチップ電源容量抽出手法

    葛西孝己,今井 雅, 黒川 敦, 金本 俊幾

    情報処理学会東北支部研究報告   2019-6 ( 1-1 )   1 - 6   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • パワーフィルム抵抗器のパルス破壊解析に向けた熱回路モデルの検討

    常本大貴, 梶谷翔太, 伊澤敬汰, 渡邊良祐, 葛西孝己, 黒川敦, 金本俊幾

    情報処理学会東北支部研究報告   2019-6 ( 1-2 )   1 - 5   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 耐パルス性薄膜抵抗器の有限要素法構造モデルの作成

    梶谷翔太, 伊澤敬汰, 常本大貴, 葛西孝己, 黒川敦, 金本俊幾, 渡邊良祐

    情報処理学会東北支部研究報告   2019-6 ( 1-3 )   1 - 4   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • パワーモジュールの熱応力連成解析に向けたIGBT等価回路モデルの検討

    伊藤颯汰, 宗形恒夫, 黒川敦, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2019-6 ( 2-1 )   1 - 4   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • LPWA通信規格LoRaを用いたセンサノードの低消費電力通信の評価

    畠山寛, 丹波澄雄, 黒川敦, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2019-6 ( 2-2 )   1 - 4   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • メンテナンスフリーセンサーノード実現に向けた画像分析と消費電力低減の検討

    畑瀬拓実, 黒川敦, 今井雅, 金本俊幾

    情報処理学会東北支部研究報告   2019-6 ( 2-3 )   1 - 3   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Thermal placement on PCB of components including 3D ICs

    Satomi Yuuta, Hachiya Koutaro, Kanamoto Toshiki, Watanabe Ryosuke, Kurokawa Atsushi

    IEICE Electronics Express   17 ( 3 )   20190737 - 20190737   2020年01月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Thermal placement on PCB of components including 3D ICs

    Yuuta Satomi, Koutaro Hachiya, Toshiki Kanamoto, Ryosuke Watanabe, Atsushi Kurokawa

    IEICE Electronics Express   17 ( 3 )   20190737 - 20190737   2020年01月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

  • Thermal Resistance Model and Analysis for Future Smart Glasses

    K.Matsuhashi, T.Kanamoto, A.Kurokawa

    International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT)   14   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Thermal modeling and simulation of a smart wrist-worn wearable device

    Kodai Matsuhashi, Koutaro Hachiya, Toshiki Kanamoto, Masashi Imai, Atsushi Kurokawa

    Workshop on Synthesis And System Integration of Mixed Information technologies   2019   138 - 143   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Efficiency investigation of capacitors mounted on re-distribution layers for FOWLP

    Koki Kasai, Atsushi Kurokawa, Masashi Imai, and Toshiki Kanamoto

    Workshop on Synthesis And System Integration of Mixed Information technologies   2019   176 - 179   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Quantitative performance comparison of asynchronous and synchronous comparator

    Kyota Akimoto, Toshiki Kanamoto, Atsushi Kurokawa, Masashi Imai

    Workshop on Synthesis And System Integration of Mixed Information technologies   2019   296 - 297   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • An efficient thermal model of thin film NiCr resistors considering pulse response

    Ryosuke Watanabe, Keita Izawa, Shota Kajiya, Taiki Tsunemoto, Koki Kasai, Atsushi Kurokawa, Toshiki Kanamoto

    Workshop on Synthesis And System Integration of Mixed Information technologies   2019   164 - 167   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • An Efficient Thermal Model of Thin Film NiCr Resistors Considering Pulse Response

    Ryosuke Watanabe, Keita Izawa, Shota Kajiya, Taiki Tsunemoto, Koki Kasai, Atsushi Kurokawa, Toshiki Kanamoto

    Workshop on Synthesis And System Integration of Mixed Information technologies, SASIMI   164 - 167   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Thermal Resistance Model and Analysis for Future Smart Glasses

    Kodai Matsuhashi, Toshiki Kanamoto, Atsushi Kurokawa

    International Microsystems, Packaging, Assembly and Circuits Technology Conference, IMPACT   1 - 4   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

    Web of Science

  • Thermal Modeling and Simulation of a Smart Wrist-Worn Wearable Device

    Kodai Matsuhashi, Koutaro Hachiya, Toshiki Kanamoto, Masasi Imai, Atsushi Kurokawa

    The 22nd Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2019)   138 - 143   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Quantitative Performance Comparison of Asynchronous and Synchronous Comparator

    Kyota Akimoto, Toshiki Kanamoto, Atsushi Kurokawa, Masashi Imai

    Workshop on Synthesis And System Integration of Mixed Information technologies, SASIMI   296 - 297   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Efficiency Investigation of Capacitors Mounted on Re-Distribution Layers for FOWLP

    Koki Kasai, Atsushi Kurokawa, Masashi Imai, Toshiki Kanamoto

    Workshop on Synthesis And System Integration of Mixed Information technologies, SASIMI   176 - 179   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • A Multi-Core Chip Load Model for PDN Analysis Considering Voltage-Current-Timing Interdependency and Operation Mode Transitions

    J. Chen, H. Kando, T. Kanamoto, C. Zhuo, M. Hashimoto

    IEEE Transactions on Components, Packaging and Manufacturing Technology   9 ( 9 )   1669 - 1679   2019年09月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

  • A Single-Stage RISC-V Processor to Mitigate the Von Neumann Bottleneck

    T. Kanamoto, M.kushima, K. Kitagishi, S. Nakayama, H. Ishihara, K. Kasai, A. Kurokawa, M. Imai

    IEEE International Midwest Symposium on Circuits and Systems (MWSCAS)   62   1085 - 1088   2019年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

    Web of Science

  • Hardware Trojan Insertion and Detection in Asynchronous Circuits

    K. Inaba, T. Yoneda, T. Kanamoto, A. Kurokawa, M. Imai

    IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC)   25   134 - 143   2019年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

    Web of Science

  • Neural network-based 3D IC interconnect capacitance extraction

    R. Kasai, T. Kanamoto, M. Imai, A. Kurokawa, K. Hachiya

    International Conference on Communication Engineering and Technology (ICCET)   2   168 - 172   2019年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Neural Network-Based 3D IC Interconnect Capacitance Extraction

    2019 2nd International Conference on Communication Engineering and Technology (ICCET 2019)   168 - 172   2019年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

    Web of Science

  • リストウェアラブルデバイスのベルト放熱効果

    岡本慎太郎, 松橋功大, 今井雅, 金本俊幾, 黒川敦

    電気学会 全国大会 講演論文集   32   2019年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • リストウェアラブルデバイスのベルト放熱効果

    岡本慎太郎, 松橋功大, 今井雅, 金本俊幾, 黒川敦

    電気学会全国大会講演論文集(CD-ROM)   2019   ROMBUNNO.3‐025   2019年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    J-GLOBAL

  • 遅延ばらつきを考慮した遅延線設計による束データ方式非同期式回路の高性能化

    赤坂親一郎, 金本俊幾, 黒川敦, 今井雅

    情報処理学会東北支部研究報告   2018-9 ( B4-1 )   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • AC解析を用いたオンチップ電源分配網の容量抽出手法

    葛西孝己,黒川 敦, 今井 雅, 金本 俊幾

    情報処理学会東北支部研究報告   2018-9 ( B4-4 )   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • ハードウェアトロイ無効化のための多重化システムの実装

    和島純也, 金本俊幾, 黒川敦, 今井雅

    情報処理学会東北支部研究報告   2018-9 ( B4-2 )   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 束データ方式非同期式回路におけるハードウェアトロイ検出手法の評価

    稲葉光太郎, 金本俊幾, 黒川敦, 今井雅

    情報処理学会東北支部研究報告   2018-9 ( B4-3 )   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • AC解析を用いたオンチップ電源分配網の容量抽出手法

    葛西孝己, 黒川敦, 今井雅, 金本俊幾

    情報処理学会 東北支部研究会   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 遅延ばらつきを考慮した遅延線設計による束データ方式非同期式回路の高性能化

    赤坂親一郎, 金本俊幾, 黒川敦, 今井雅

    情報処理学会 東北支部研究会   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 束データ方式非同期式回路におけるハードウェアトロイ検出手法の評価

    稲葉光太郎, 金本俊幾, 黒川敦, 今井雅

    情報処理学会 東北支部研究会   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • ハードウェアトロイ無効化のための多重化システムの実装

    和島純也, 金本俊幾, 黒川敦, 今井雅

    情報処理学会 東北支部研究会   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 束データ方式非同期式回路におけるハードウェアトロイ攻撃と対策

    稲葉光太郎, 金本俊幾, 黒川敦, 今井雅

    電子情報通信学会ハードウェアセキュリティフォーラム   2018年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Optimization of full-chip power distribution networks in 3D ICs

    Yuuta Satomi, Koutaro Hachiya, Toshiki Kanamoto, and Atsushi Kurokawa

    International Conference on Integrated Circuits and Microsystems (ICICM)   134 - 138   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Variability in Thermo-mechanical Stress Applied to the Bonding Junction of Power MOSFET

    Toshiki Kanamoto, Kazuaki Nomiya, Koki Kasai, Atsushi Kurokawa, Masashi Imai, Tsuneo Munakata

    11th ACM/IEEE Workshop on Variability Modeling and Characterization (VMC)   1 - 2   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 2phaseハンドシェイクプロトコルに基づく束データ方式非同期式回路のレプリカ遅延線設計

    赤坂親一郎, 金本俊幾, 黒川敦, 今井雅

    DAシンポジウム2018論文集   93 - 98   2018年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • FOWLPを⽤いたLSIにおける再配線層上キャパシタおよびオンチップ容量の最適化

    金本俊幾, 葛西孝己, 今井雅, 黒川敦, 橋本昌宜, 陳俊, 神藤始

    DAシンポジウム2018論文集   88 - 92   2018年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Impact of mutual inductance on timing in nano-scale SoC

    Sakata Kazuyuki, Hasegawa Takashi, Ichikawa Kouji, Kanamoto Toshiki

    IEICE Electronics Express   15 ( 11 )   20180376 - 20180376   2018年06月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:単著  

  • Impact of mutual inductance on timing in nano-scale SoC

    Kazuyuki Sakata, Takashi Hasegawa, Kouji Ichikawa, Toshiki Kanamoto

    IEICE Electronics Express   15 ( 11 )   2018年06月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • An On-Chip Load Model for Off-Chip PDN Analysis Considering Interdependency Between Supply Voltage, Current Profile and Clock Latency

    J. Chen, T. Kanamoto, H. Kando, M. Hashimoto

    2018 IEEE 22th Workshop on Signal and Power Integrity (SPI)   1 - 4   2018年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Impact of Distributing 3D Stacked ICs on Maximum Temperature Reduction

    Kaoru Furumi, Shintaro Okamoto, Toshiki Kanamoto, Masashi Imai, Atsushi Kurokawa

    The 21st Workshop on Synthesis And System Integration of Mixed Information technologies   R4-19   1 - 6   2018年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Power Delivery Network Optimization of 3D ICs Using Multi-Objective Genetic Algorithm

    Yuuta Satomi, Koutaro Hachiya, Masashi Imai, Toshiki Kanamoto, Kaoru Furumi, Atsushi Kurokawa

    The 21st Workshop on Synthesis And System Integration of Mixed Information technologies   R2-10   1 - 6   2018年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Prediction of the impact of Mutual Inductance on Timing Towards Nano-scale SoC

    Kazuyuki Sakata, Takashi Hasegawa, kouji Ichikawa, Toshiki Kanamoto

    The 21st Workshop on Synthesis And System Integration of Mixed Information technologies   R1-8   1 - 3   2018年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • モバイル機器向け3D-ICの放熱促進方法

    松橋功大,古見薫,今井雅,岡本慎太郎,金本俊幾,里見優太,黒川敦

    電気学会 全国大会 講演論文集   2018   2018年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ビザンチンフォールトトレラントシステムの構築と実用性評価

    七尾健, 石川雄大, 金本俊幾, 黒川敦, 今井雅

    情報処理学会東北支部研究報告   2017-6 ( B3-2 )   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 容量素子最適化のための LSI・パッケージ・ボード電源網解析モデルの構築

    葛西孝己, 神藤始, 陳俊, 橋本昌宜, 今井雅, 黒川敦, 金本俊幾

    情報処理学会東北支部研究報告   2017-6 ( B1-1 )   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • PowerMOS デバイス熱設計のためのボンディングワイヤモデルの構築

    太田拓磨, 宗形恒夫, 今井雅, 黒川敦, 金本俊幾

    情報処理学会東北支部研究報告   2017-6 ( B1-2 )   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • CMOS回路における消費エネルギー低減のための電源電圧と閾値電圧の調節手法

    成田全, 葛西孝己, 今井雅, 黒川敦, 金本俊幾

    情報処理学会東北支部研究報告   2017-6 ( B1-3 )   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • FPGA の信号伝搬遅延の温度依存性に関する研究

    江良祥耶, 葛西孝己, 今井雅, 黒川敦, 金本俊幾

    情報処理学会東北支部研究報告   2017-6 ( B1-4 )   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ランダム遅延素子を用いた耐タンパ非同期式回路の設計

    豊嶋太樹, 金本俊幾, 黒川敦, 今井雅

    情報処理学会東北支部研究報告   2017-6 ( B3-1 )   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Thermal-Aware Tile-Based Block Placement for 3D ICs

    Ryosuke Hatsuta, Masashi Imai, Toshiki Kanamoto, Shintaro Okamoto, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session   1B07   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 容量素子最適化のためのLSI・パッケージ・ボード電源網解析モデルの構築

    葛西孝己, 今井雅, 黒川敦, 金本俊幾, 陳俊, 橋本昌宜, 神藤始

    平成29年度 電気関係学会東北支部連合大会 講演論文集   2E09 ( 0 )   201 - 201   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

    CiNii Research

  • PowerMOSデバイス熱設計のためのボンディングワイヤモデルの構築

    太田拓磨, 葛西孝己, 今井雅, 黒川敦, 金本俊幾, 宗形恒夫

    平成29年度 電気関係学会東北支部連合大会 講演論文集   2E03 ( 0 )   196 - 196   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

    CiNii Research

  • ランダム遅延素子を用いた非同期式回路の耐タンパ性向上に関する一考察

    豊嶋太樹, 金本俊幾, 黒川敦, 今井雅

    平成29年度 電気関係学会東北支部連合大会 講演論文集   1G04 ( 0 )   94 - 94   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

    CiNii Research

  • Estimating Walking State When Holding Object in Hand by Using Neural Network

    Ryo Sasaki, Toshiki Kanamoto, Masashi Imai, Kaoru Furumi, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session   2B19 ( 0 )   165 - 165   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

    CiNii Research

  • Method for Mitigating Heat of 3D Stacked Memory for Small Electronic Devices

    Shintaro Okamoto, Kaoru Furumi, Masashi Imai, Toshiki Kanamoto, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session   1B17 ( 0 )   28 - 28   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

    CiNii Research

  • Optimizing Power Distribution Network Using Multi-Objective Genetic Algorithm

    Yuta Satomi, Masasahi Imai, Toshiki Kanamoto, Kaoru Furumi, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session   1B16 ( 0 )   27 - 27   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

    CiNii Research

  • Reducing Temperature by Relocating 3D IC Structures

    Kaoru Furumi, Shintaro Okamoto, Toshiki Kanamoto, Masashi Imai, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session   1B15 ( 0 )   26 - 26   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

    CiNii Research

  • A Study on Replica Delay Circuit of Bundled-Data Transfer Asynchronous Circuits

    Shinichiro Akasaka, Toshiki Kanamoto, Atsushi Kurokawa, Masashi Imai

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session   1B10 ( 0 )   21 - 21   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

    CiNii Research

  • Hardware Trojan Comparison between Synchronous and Asynchronous Circuits

    Koutaro Inaba, Toshiki Kanamoto, Atsushi Kurokawa, Masashi Imai

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session   1B08 ( 0 )   19 - 19   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

    CiNii Research

  • Modeling and Analysis for Predicting Clock Skew of Stacked Chips

    Seira Kamiie, Toshiki Kanamoto, Masashi Imai, Shintaro Okamoto, Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session   1B06 ( 0 )   17 - 17   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

    CiNii Research

  • 容量配置最適化に向けた15nm世代LSI・パッケージ・ボード電源網解析モデルの構築

    金本俊幾, 葛西孝己, 今井雅, 黒川敦, 橋本昌宜, 陳俊, 神藤始

    DAシンポジウム2017論文集   2017   111 - 114   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • マルチコアシステムのマルコフモデルによる信頼性評価

    稲葉光太郎, 金本俊幾, 黒川敦, 今井雅

    電子情報通信学会総合大会論文集   A-7-1   - 82   2017年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 極低電圧回路における消費エネルギー極小化に関する一考察

    瀧 健太郎, 今井 雅, 金本 俊幾

    平成28年度 第6回情報処理学会東北支部研究会   2017年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ハンドシェイク回路多重化による低ノイズ非同期式回路の実現

    石川 達也, 金本 俊幾, 今井 雅

    平成28年度 第6回情報処理学会東北支部研究会   2017年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 低電圧環境における高性能非同期式回路の実現

    田近 龍平, 金本 俊幾, 今井 雅

    平成28年度 第6回情報処理学会東北支部研究会   2017年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 多段リングオシレータによるFPGA性能ばらつきの実験的評価

    増川 孔明, 今井 雅, 金本 俊幾

    平成28年度 第6回情報処理学会東北支部研究会   2017年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Thermal-Aware Tile-Based Block Placement for 3D ICs

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan   2017 ( 0 )   18 - 18   2017年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • Accurate prediction of interconnect capacitance in Self-Aligned Quadruple Patterning

    T. Kanamoto, H. Ammo, T. Hasegawa, S. Kobayashi, T. Fukuda and M. Kawano

    2016 IEEE 20th Workshop on 2016 IEEE 20th Workshop   2016年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • A new EMI-noise reduction method in LSI-Package-Board system

    Takashi Hasegawa, Toshiki Kanamoto, Hiroaki Ammo, Masaharu Kawano, Toshikazu Fukuda, Sachiko Kobayashi, Atsushi Kurokawa

    2016 IEEE 20th Workshop on Signal and Power Integrity (SPI)   2016年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Structure optimization for timing in nano scale FinFET

    Toshiki Kanamoto, Takeichiro Akamine, Hiroaki Ammo, Takashi Hasegawa, Kouhei Shimizu, Yoshinori Kumano, Masaharu Kawano, Atsushi Kurokawa

    IEICE ELECTRONICS EXPRESS   12 ( 9 )   2015年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • Structure optimization for timing in nano scale FinFET

    Kanamoto Toshiki, Akamine Takeichiro, Ammo Hiroaki, Hasegawa Takashi, Shimizu Kouhei, Kumano Yoshinori, Kawano Masaharu, Kurokawa Atsushi

    IEICE Electronics Express   12 ( 9 )   20150297 - 20150297   2015年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Resistivity-based modeling of substrate non-uniformity for low-resistivity substrate

    Kanamoto Toshiki, Inaba Hisato, Chiba Toshiharu, Ogasahara Yasuhiro

    IEICE Electronics Express   11 ( 3 )   20130813 - 20130813   2014年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Resistivity-based modeling of substrate non-uniformity for low-resistivity substrate

    Toshiki Kanamoto, Hisato Inaba, Toshiharu Chiba, Yasuhiro Ogasahara

    IEICE ELECTRONICS EXPRESS   11 ( 3 )   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • Supply Noise Suppression by Triple-Well Structure

    Yasuhiro Ogasahara, Masanori Hashimoto,Toshiki Kanamoto, Takao Onoye

    IEEE Transactions on Very Large Scale Integration (VLSI) Systems   21 ( 4 )   781 - 785   2013年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • Modeling of Reduced Surface Field Laterally Diffused Metal Oxide Semiconductor for Accurate Prediction of Junction Condition on Device Characteristics

    Takashi Saito, Akihiro Tanaka, Takuro Hayashi, Hideyuki Kikuchihara, Toshiki Kanamoto, Hiroo Masuda, Masataka Miyake, Shuhei Amakawa, Hans J. Mattausch, Michiko Miura-Mattausch

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 ( 4 )   2011年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • A New LDMOS Transistor Macro-Modeling for Accurately Predicting Bias Dependence of Gate-Overlap Capacitance

    Takashi Saito, Toshiki Kanamoto, Saiko Kobayashi, Nobuhiko Goto, Takao Sato, Hitoshi Sugihara, Hiroo Masuda

    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES   E93A ( 9 )   1605 - 1611   2010年09月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • Exhaustive and Systematic Accuracy Verification and Enhancement of STI Stress Compact Model for General Realistic Layout Patterns

    Kenta Yamada, Toshiyuki Syo, Hisao Yoshimura, Masaru Ito, Tatsuya Kunikiyo, Toshiki Kanamoto, Shigetaka Kumashiro

    IEICE TRANSACTIONS ON ELECTRONICS   E93C ( 8 )   1349 - 1358   2010年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • Impact of Self-Heating in Wire Interconnection on Timing

    Toshiki Kanamoto, Takaaki Okumura, Katsuhiro Furukawa, Hiroshi Takafuji, Atsushi Kurokawa, Koutaro Hachiya, Tsuyoshi Sakata, Masakazu Tanaka, Hidenari Nakashima, Hiroo Masuda, Takashi Sato, Masanori Hashimoto

    IEICE TRANSACTIONS ON ELECTRONICS   E93C ( 3 )   388 - 392   2010年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • Interconnect Modeling: A Physical Design Perspective

    Atsushi Kurokawa, Takashi Sato, Toshiki Kanamoto, Masanori Hashimoto

    IEEE TRANSACTIONS ON ELECTRON DEVICES   56 ( 9 )   1840 - 1851   2009年09月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • An approach for reducing leakage current variation due to manufacturing variability

    Tsuyoshi Sakata, Takaaki Okumura, Atsushi Kurokawa, Hidenari Nakashima, Hiroo Masuda, Takashi Sato, Masanori Hashimoto, Koutaro Hachiya, Katsuhiro Furukawa, Masakazu Tanaka, Hiroshi Takafuji, Toshiki Kanamoto

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E92-A ( 12 )   3016 - 3023   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Scopus

  • Improvement in computational accuracy of output transition time variation considering threshold voltage variations

    Takaaki Okumura, Atsushi Kurokawa, Hiroo Masuda, Toshiki Kanamoto, Masanori Hashimoto, Hiroshi Takafuji, Hidenari Nakashima, Nobuto Ono, Tsuyoshi Sakata, Takashi Sato

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E92-A ( 4 )   990 - 997   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

    Scopus

  • Impact of Well Edge Proximity Effect on Timing

    Toshiki Kanamoto, Yasuhiro Ogasahara, Keiko Natsume, Kenji Yamaguchi, Hiroyuki Amishiro, Tetsuya Watanabe, Masanori Hashimoto

    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES   E91A ( 12 )   3461 - 3464   2008年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • A parallel method to extract critical areas of net pairs for diagnosing bridge faults

    Keiichi Suemitsu, Toshiaki Ito, Toshiki Kanamoto, Masayuki Terai, Satoshi Kotani, Shigeo Sawada

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E91-A ( 12 )   3524 - 3530   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Scopus

  • IDDQテスト用の近接配線ペアリスト生成の高速化手法

    末光啓一, 伊藤俊明, 金本俊幾, 寺井正幸, 小谷憲, 澤田茂穂

    情報処理学会シンポジウム論文集   2007 ( 7 )   215 - 220   2007年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    J-GLOBAL

  • A fast characterizing method for large embedded memory modules on SoC

    Masahiko Omura, Toshiki Kanamoto, Michiko Tsukamoto, Mitsutoshi Shirota, Takashi Nakajima, Masayuki Terai

    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES   E90A ( 4 )   815 - 822   2007年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • Impact of intrinsic parasitic extraction errors on timing and noise estimation

    Toshiki Kanamoto, Shigekiyo Akutsu, Tamiyo Nakabayashi, Takahiro Ichinomiya, Koutaro Hachiya, Atsushi Kurokawa, Hiroshi Ishikawa, Sakae Muromoto, Hiroyuki Kbayashi, Masanori Hashimoto

    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES   E89A ( 12 )   3666 - 3670   2006年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • Si-substrate modeling toward substrate-aware interconnect resistance and inductance extraction in SoC design

    Toshiki Kanamoto, Tatsuhiko Ikeda, Akira Tsuchiya, Hidetoshi Onodera, Masanori Hashimoto

    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES   E89A ( 12 )   3560 - 3568   2006年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • Formula-based method for capacitance extraction of interconnects with dummy fills

    Atsushi Kurokawa, Akira Kasebe, Toshiki Kanamoto, Yun Yang, Zhangcai Huang, Yasuaki Inoue, Hiroo Masuda

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E89-A ( 4 )   847 - 855   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

    Scopus

  • Si-substrate modeling toward substrate-aware interconnect resistance and inductance extraction in SoC design

    Toshiki Kanamoto, Tatsuhiko Ikeda, Akira Tsuchiya, Hidetoshi Onodera, Masanori Hashimoto

    10th IEEE Workshop on Signal Propagation on Interconnects, Proceedings   227 - 230   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

    Web of Science

  • A method of precise estimation of physical parameters in LSI interconnect structures

    T Kanamoto, T Watanabe, M Shirota, M Terai, T Kunikiyo, K Ishikawa, Y Ajioka, Y Horiba

    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES   E88A ( 12 )   3463 - 3470   2005年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • Efficient dummy filling methods to reduce interconnect capacitance and number of dummy metal fills

    A Kurokawa, T Kanamoto, T Ibe, A Kasebe, WF Chang, T Kage, Y Inoue, H Masuda

    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES   E88A ( 12 )   3471 - 3478   2005年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • A practical approach for efficiently extracting interconnect capacitances with floating dummy fills

    Atsushi Kurokawa, Toshiki Kanamoto, Akira Kasebe, Yasuaki Indoe, Hiroo Masuda

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E88-A ( 11 )   3180 - 3186   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Scopus

  • Test structure measuring inter- and intralayer coupling capacitance of interconnection with subfemtofarad resolution

    T Kunikiyo, T Watanabe, T Kanamoto, H Asazato, M Shirota, K Eikyu, Y Ajioka, H Makino, K Ishikawa, S Iwade, Y Inoue

    IEEE TRANSACTIONS ON ELECTRON DEVICES   51 ( 5 )   726 - 735   2004年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Web of Science

    Scopus

  • D30V/MPEG multimedia processor

    Hidehiro Takata, Tetsuya Watanabe, Tetsuo Nakajima, Takashi Takagaki, Hisakazu Sato, Atsushi Mohri, Akira Yamada, Toshiki Kanamoto, Yoshio Matsuda, Shuhei Iwade, Yasutaka Horiba

    IEEE Micro   19   38 - 47   1999年07月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    Scopus

▼全件表示

書籍等出版物

  • 電子情報工学実験II 2022年度版

    今井 雅, 金本 俊幾, 黒川 敦, 渡辺 良佑, 豊田 淳平( 担当: 共著 ,  範囲: アナログ回路)

    弘前大学出版会  2022年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論  専門分野(e-Rad研究分野)コード:ものづくり技術(機械・電気電子・化学工学) / 電子デバイス、電子機器

  • 電子情報工学実験II 2021年度版

    今井 雅, 金本 俊幾, 黒川 敦, 渡辺 良佑, 豊田 淳平( 担当: 共著 ,  範囲: アナログ回路)

    弘前大学出版会  2021年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論  専門分野(e-Rad研究分野)コード:ものづくり技術(機械・電気電子・化学工学) / 電子デバイス、電子機器

  • 電子情報工学実験II 2020年度版

    今井 雅, 金本 俊幾, 黒川 敦, 渡辺 良佑, 豊田 淳平( 担当: 共著 ,  範囲: アナログ回路)

    弘前大学出版会  2020年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論  専門分野(e-Rad研究分野)コード:ものづくり技術(機械・電気電子・化学工学) / 電子デバイス、電子機器

  • 弘前大学レクチャーコレクション

    佐藤 裕之, 金本 俊幾 他( 担当: 共著)

    弘前大学出版会  2020年3月 

     詳細を見る

    記述言語:日本語 著書種別:一般書・啓蒙書  専門分野(e-Rad研究分野)コード:ものづくり技術(機械・電気電子・化学工学) / 電子デバイス、電子機器,ものづくり技術(機械・電気電子・化学工学) / 通信工学,情報通信 / 情報セキュリティ

  • 電子情報工学実験II 2019年度版

    今井 雅, 金本 俊幾, 黒川 敦, 渡辺 良佑, 豊田 淳平( 担当: 共著 ,  範囲: アナログ回路)

    弘前大学出版会  2019年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論  専門分野(e-Rad研究分野)コード:ものづくり技術(機械・電気電子・化学工学) / 電子デバイス、電子機器

  • 電子情報工学実験II 2018年度版

    今井 雅, 金本 俊幾, 黒川 敦, 渡辺 良佑, 豊田 淳平( 担当: 共著 ,  範囲: アナログ回路)

    弘前大学出版会  2018年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論  専門分野(e-Rad研究分野)コード:ものづくり技術(機械・電気電子・化学工学) / 電子デバイス、電子機器

▼全件表示

受賞

  • Outstanding Paper Award

    2019年10月

担当授業科目(学内)

  • 2023年度,ベンチャービジネス論,専門教育科目(学部)

  • 2023年度,卒業研究(電子情報工学科),専門教育科目(学部)

  • 2023年度,科学技術英語,専門教育科目(学部)

  • 2023年度,組込みシステム実践演習,専門教育科目(学部)

  • 2023年度,電子回路演習,専門教育科目(学部)

  • 2023年度,電子情報工学実験II,専門教育科目(学部)

  • 2023年度,電子情報工学最先端,専門教育科目(学部)

  • 2023年度,電子情報工学概論,専門教育科目(学部)

  • 2023年度,電子情報工学演習A,専門教育科目(学部)

  • 2023年度,電子情報工学演習B,専門教育科目(学部)

  • 2023年度,電気回路,専門教育科目(学部)

  • 2023年度,理工学特別演習A,修士課程・博士前期課程

  • 2023年度,理工学特別演習B,修士課程・博士前期課程

  • 2023年度,組込みシステム物理検証特論,修士課程・博士前期課程

  • 2023年度,電子情報工学特別研究A,修士課程・博士前期課程

  • 2023年度,電子情報工学特別研究B,修士課程・博士前期課程

  • 2023年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2023年度,システムLSI物理設計特論,博士一貫課程・博士後期課程

  • 2023年度,機能創成科学演習1,博士一貫課程・博士後期課程

  • 2023年度,物理学の世界-物理学の基礎-,教養教育科目

  • 2022年度,ベンチャービジネス論,専門教育科目(学部)

  • 2022年度,卒業研究(電子情報工学科),専門教育科目(学部)

  • 2022年度,科学技術英語,専門教育科目(学部)

  • 2022年度,組込みシステム実践演習,専門教育科目(学部)

  • 2022年度,電子回路演習,専門教育科目(学部)

  • 2022年度,電子情報工学実験II,専門教育科目(学部)

  • 2022年度,電子情報工学最先端,専門教育科目(学部)

  • 2022年度,電子情報工学概論,専門教育科目(学部)

  • 2022年度,電子情報工学演習A,専門教育科目(学部)

  • 2022年度,電子情報工学演習B,専門教育科目(学部)

  • 2022年度,電気回路,専門教育科目(学部)

  • 2022年度,理工学特別演習A,修士課程・博士前期課程

  • 2022年度,理工学特別演習B,修士課程・博士前期課程

  • 2022年度,組込みシステム物理検証特論,修士課程・博士前期課程

  • 2022年度,電子情報工学特別研究A,修士課程・博士前期課程

  • 2022年度,電子情報工学特別研究B,修士課程・博士前期課程

  • 2022年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2022年度,物理学の世界-物理学の基礎-,教養教育科目

  • 2021年度,科学技術英語,専門教育科目(学部)

  • 2021年度,組込みシステム実践演習,専門教育科目(学部)

  • 2021年度,電子回路演習,専門教育科目(学部)

  • 2021年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2021年度,電子情報工学最先端,専門教育科目(学部)

  • 2021年度,電子情報工学概論,専門教育科目(学部)

  • 2021年度,電子情報工学演習A,専門教育科目(学部)

  • 2021年度,電子情報工学演習B,専門教育科目(学部)

  • 2021年度,電気回路,専門教育科目(学部)

  • 2021年度,理工学特別演習A,修士課程・博士前期課程

  • 2021年度,理工学特別演習B,修士課程・博士前期課程

  • 2021年度,組込みシステム物理検証特論,修士課程・博士前期課程

  • 2021年度,電子情報工学特別研究A,修士課程・博士前期課程

  • 2021年度,電子情報工学特別研究B,修士課程・博士前期課程

  • 2021年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2021年度,地域学ゼミナール,教養教育科目

  • 2021年度,基礎ゼミナール,教養教育科目

  • 2021年度,物理学の世界-物理学の基礎-,教養教育科目

  • 2020年度,物理学の基礎Ⅰ(B),21世紀教育科目

  • 2020年度,科学技術英語,専門教育科目(学部)

  • 2020年度,組込みシステム実践演習,専門教育科目(学部)

  • 2020年度,電子回路演習,専門教育科目(学部)

  • 2020年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2020年度,電子情報工学最先端,専門教育科目(学部)

  • 2020年度,電子情報工学概論,専門教育科目(学部)

  • 2020年度,電子情報工学演習A,専門教育科目(学部)

  • 2020年度,電子情報工学演習B,専門教育科目(学部)

  • 2020年度,電気回路,専門教育科目(学部)

  • 2020年度,理工学特別演習A,修士課程・博士前期課程

  • 2020年度,理工学特別演習B,修士課程・博士前期課程

  • 2020年度,組込みシステム物理検証特論,修士課程・博士前期課程

  • 2020年度,電子情報工学特別研究A,修士課程・博士前期課程

  • 2020年度,電子情報工学特別研究B,修士課程・博士前期課程

  • 2020年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2020年度,物理学の世界-物理学の基礎-,教養教育科目

  • 2019年度,物理学の基礎Ⅰ(B),21世紀教育科目

  • 2019年度,卒業研究,専門教育科目(学部)

  • 2019年度,科学技術英語,専門教育科目(学部)

  • 2019年度,組込みシステム実践演習,専門教育科目(学部)

  • 2019年度,電子回路演習,専門教育科目(学部)

  • 2019年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2019年度,電子情報工学最先端,専門教育科目(学部)

  • 2019年度,電子情報工学概論,専門教育科目(学部)

  • 2019年度,電子情報工学演習A,専門教育科目(学部)

  • 2019年度,電子情報工学演習B,専門教育科目(学部)

  • 2019年度,電気回路,専門教育科目(学部)

  • 2019年度,組込みシステム物理検証特論,修士課程・博士前期課程

  • 2019年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2019年度,物理学の世界-物理学の基礎-,教養教育科目

  • 2018年度,物理学の基礎Ⅰ(B),21世紀教育科目

  • 2018年度,科学技術英語,専門教育科目(学部)

  • 2018年度,組込みシステム実践演習,専門教育科目(学部)

  • 2018年度,電子回路演習,専門教育科目(学部)

  • 2018年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2018年度,電子情報工学最先端,専門教育科目(学部)

  • 2018年度,電子情報工学演習A,専門教育科目(学部)

  • 2018年度,電子情報工学演習B,専門教育科目(学部)

  • 2018年度,電子情報工学英語演習,専門教育科目(学部)

  • 2018年度,電気回路,専門教育科目(学部)

  • 2018年度,組込みシステム物理検証特論,修士課程・博士前期課程

  • 2018年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2018年度,物理学の世界-物理学の基礎-,教養教育科目

  • 2017年度,物理学の基礎Ⅰ(B),21世紀教育科目

  • 2017年度,卒業研究,専門教育科目(学部)

  • 2017年度,組込みシステム実践演習,専門教育科目(学部)

  • 2017年度,電子回路演習,専門教育科目(学部)

  • 2017年度,電子情報工学全体講義,専門教育科目(学部)

  • 2017年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2017年度,電子情報工学概論,専門教育科目(学部)

  • 2017年度,電子情報工学演習A,専門教育科目(学部)

  • 2017年度,電子情報工学演習B,専門教育科目(学部)

  • 2017年度,電子情報工学英語演習,専門教育科目(学部)

  • 2017年度,電気回路,専門教育科目(学部)

  • 2017年度,組込みシステム物理検証特論,修士課程・博士前期課程

  • 2017年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2017年度,基礎ゼミナール,教養教育科目

  • 2017年度,物理学の世界-物理学の基礎-,教養教育科目

  • 2016年度,物理学の基礎Ⅰ(B),21世紀教育科目

  • 2016年度,卒業研究,専門教育科目(学部)

  • 2016年度,組込みシステム実践演習,専門教育科目(学部)

  • 2016年度,電子情報工学全体講義,専門教育科目(学部)

  • 2016年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2016年度,電子情報工学概論,専門教育科目(学部)

  • 2016年度,電子情報工学演習A,専門教育科目(学部)

  • 2016年度,電子情報工学演習B,専門教育科目(学部)

  • 2016年度,電子情報工学英語演習,専門教育科目(学部)

  • 2016年度,電気回路演習,専門教育科目(学部)

  • 2016年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2016年度,物理学の世界-物理学の基礎-,教養教育科目

▼全件表示