2024/03/26 更新

写真b

クロカワ アツシ
黒川 敦
KUROKAWA Atsushi
所属
理工学研究科 研究部

学位

  • 博士(工学)

研究分野

  • ものづくり技術(機械・電気電子・化学工学) / 電子デバイス、電子機器

  • ものづくり技術(機械・電気電子・化学工学) / 通信工学

  • 情報通信 / 計算機システム

所属学協会

  • 一般社団法人 電気学会(IEEJ)

  • 米国電気電子学会(IEEE)

  • 一般社団法人 情報処理学会(IPSJ)

  • 一般社団法人 電子情報通信学会(IEICE)

  • 米国電気電子学会(IEEE)

  • 一般社団法人 電気学会(IEEJ)

  • 一般社団法人 電子情報通信学会(IEICE)

  • 一般社団法人 情報処理学会(IPSJ)

▼全件表示

自己PR

  • (連携キーワード)

    三次元集積化設計技術、集積回路(IC/LSI/VLSI/SoC/SiP)設計技術、物理設計(シグナル・インテグリティ、パワー・インテグリティ、クロック分配、電源分配、配置配線、パッド割り当て)、ばらつき考慮設計/製造容易化設計(DFM)、低消費電力技術、信頼性(NBTI/HC/TDDM/EM/ESD)保証、EMC(EMI/EMS)対策、タイミング解析(キャラクタライゼーション、タイミングモデル、遅延計算)、セル設計(デジタル/アナログ/IO)、Mixed-signal設計、配線RLC抽出、高速通信、電源回路、エネルギー分野集積回路

    (研究概要)

    1.集積回路設計技術全般の研究・開発。
    2.ウェアラブルデバイス関連技術の研究・開発。
    3.電磁波及び無線通信関連技術の研究・開発。

    (協力できる内容)

    連携キーワードに関する新規技術の受託/共同研究、コンサルティング、講義/講演等。

    (PR)

    通信、車、医療、エネルギー等の分野を問わず半導体集積回路に関する最新の設計技術の開発に取り組んでおります。

論文

  • Energy reduction of health monitoring processor by optimizing supply and back-gate voltages with simulated annealing

    Seria Kasai, Yamato Ishida, Fumiya Sano, Tomoya Akasaka, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, Masashi Imai, Atsushi Kurokawa, and Toshiki Kanamoto

    227 - 232   2024年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Transmitting coil for uniform magnetic flux densit

    Tatsumu Mitsuhashi, Toshiki Kanamoto, Koutaro Hachiya, and Atsushi Kurokawa

    68 - 73   2024年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Optimal inner diameter of single-layer planar spiral coils

    Kotaro Terada, Koutaro Hachiya, Toshiki Kanamoto, and Atsushi Kurokawa

    155 - 159   2024年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • RESURF: Structure optimization of SiC trench MOSFET using machine learning

    Tomoya Akasaka, Ichirota Takazawa, Seria Kasai, Atsushi Kurokawa, and Toshiki Kanamoto

    144 - 149   2024年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 電界結合型電力伝送システムにおける各カプラ構造による特性

    山本周, 黒川敦

    電子情報通信学会 総合大会   B-20-03   2024年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 小型電子機器のワイヤレス充電用受電コイルの薄型化に関する検討

    三ツ橋多紡, 黒川敦

    電子情報通信学会 総合大会   B-20-11   2024年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 電流制限抵抗を用いたワイヤレス給電コイルの発熱の抑制

    一戸啓治, 黒川敦

    電子情報通信学会 総合大会   B-20-10   2024年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Compensation capacitance tunings of wireless power transfer systems using artificial neural network

    Sato Kai, Hachiya Koutaro, Kanamoto Toshiki, Kurokawa Atsushi

    IEICE Communications Express   13 ( 3 )   88 - 92   2024年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

    CiNii Research

  • ワイヤレス充電用送電コイルの磁束密度均一化

    三ツ橋多紡, 黒川敦

    電気関係学会 東北支部連合大会   B-20-11   2023年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ワイヤレス充電における受電側コイルセンサーを用いた位置検知

    大亦生悟,黒川敦

    電気学会 C部門大会   1073 - 1077   2023年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 位置ずれを考慮したワイヤレス給電用の送電コイル径の検討

    小芝宏樹,黒川敦

    電気学会 C部門大会   1073 - 1077   2023年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Electronic component placement optimization for heat measures of smartglasses

    Kyosuke Kusumi, Koutaro Hachiya, Ryotaro Kudo, Toshiki Kanamoto, and Atsushi Kurokawa

    20 ( 6 )   1 - 6   2023年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • A thermally optimizing method of thin film resistor trimming with machine learning

    Taisei Arima, Shigeru Hidaka, Ryosuke Watanabe, Tomoya Akasaka, Atsushi Kurokawa, and Toshiki Kanamoto

    20 ( 5 )   1 - 5   2023年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Bayesian neural network based inductance calculations of wireless power transfer systems

    Kai Sato, Toshiki Kanamoto, Ryotaro Kudo, Koutaro Hachiya, and Atsushi Kurokawa

    20 ( 5 )   1 - 6   2023年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 均一磁場を備えた放物螺旋コイルの送電機

    工藤遼太朗, 佐藤快, 黒川敦

    情報処理学会 東北支部研究会   2022-6 ( 5-2 )   1 - 4   2023年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • BNNに基づいたWPTシステムのインダクタンス計算

    佐藤快, 工藤遼太朗, 黒川敦

    情報処理学会 東北支部研究会   2022-6 ( 5-1 )   1 - 4   2023年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • A bernoulli spiral coil transmitter for charging various small electronic devices

    Ryotaro Kudo, Koutaro Hachiya, Toshiki Kanamoto, and Atsushi Kurokawa

    19 ( 23 )   1 - 6   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • A thermally optimizing method of thin film resistor trimming with machine learning

    Tomoya Akasaka, Shigeru Hidaka, Ryosuke Watanabe, Taisei Arima, Atsushi Kurokawa, and Toshiki Kanamoto

    the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)   1 - 6   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Electronic component placement optimization for heat measures of smartglasses

    Kyosuke Kusumi, Koutaro Hachiya, Ryotaro Kudo, Toshiki Kanamoto, and Atsushi Kurokawa

    the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)   1 - 5   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Heating of foreign object in inductive wireless charging

    Issei Sato, Ryotaro Kudo, Toshiki Kanamoto, Koutaro Hachiya, Shinsuke Kashiwazaki, and Atsushi Kurokawa

    the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)   1 - 5   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Deep neural network based inductance calculations of wireless power transfer systems

    Kai Sato, Toshiki Kanamoto, Ryotaro Kudo, Koutaro Hachiya, and Atsushi Kurokawa

    1 - 2   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • A parabolic spiral coil transmitter for charging multiple receivers

    Ryotaro Kudo, Koutaro Hachiya, Toshiki Kanamoto, and Atsushi Kurokawa

    1 - 2   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Enhanced laser trimming of thin film resistors dedicated to snubber for high power IGBT modules

    Ryosuke Watanabe, Shigeru Hidaka, Tomoya Akasaka, Shota Kajiya, Taisei Arima, Atsushi Kurokawa, and Toshiki Kanamoto

    1 - 4   2022年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 磁界結合型ワイヤレス電力伝送システムにおける異物の発熱

    佐藤一世, 金本俊幾, 黒川敦

    電気学会 全国大会 講演論文集   4-087   2022年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 複数装置を充電可能な放物螺旋コイルの送電機

    工藤遼太朗, 金本俊幾, 黒川敦

    電気学会 全国大会 講演論文集   4-068   2022年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • リング状容量性カプラを用いた電力伝送システムの特性解析

    柏崎晋助, 金本俊幾, 黒川敦

    電気学会 全国大会 講演論文集   4-081   2022年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • NNとGAを併用したDDコイル構造の最適化

    古川雄大, 黒川敦

    電子情報通信学会 総合大会   B-20-26   2022年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • スマートグラスの熱対策のための電子部品の配置最適化

    楠美京佑, 黒川敦

    電子情報通信学会 総合大会   A-6-2   2022年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 低消費エネルギープロセッサのSoC物理設計

    葛西瀬梨亜, 畠山寛, 今井雅, 黒川敦, 金本俊幾

    情報処理学会 東北支部研究会   2021-6 ( 5-3 )   1 - 3   2022年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Receiver coil built into belt for heat dissipation of watch-type smart devices

    Shinsuke Kashiwazaki, Koutaro Hachiya, Toshiki Kanamoto, Ryosuke Watanabe, and Atsushi Kurokawa

    19 ( 3 )   1 - 5   2022年02月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Planar single-coil transmitters for efficiently charging smart devices of various receiving coil sizes

    Ryotaro Kudo, Koutaro Hachiya, Toshiki Kanamoto, and Atsushi Kurokawa

    1 - 5   2021年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • An energy efficient processor applicable to continuous SPO2 monitoring

    Toshiki Kanamoto, Kan Hatakeyama, Seria Kasai, Masashi Imai, Atsushi Kurokawa, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, and Hideki Ishihara

    1 - 2   2021年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Thermally optimization of the trimming shape of thin film NiCr resistors to improve pulse durability

    Ryosuke Watanabe, Keita Izawa, Shota Kajiya, Tomohiro Aoba, Ryo Arima, Atsushi Kurokawa, and Toshiki Kanamoto

    Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)   1 - 6   2021年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Thermal design technology for non-low power hearables

    Kodai Matsuhashi, Koutaro Hachiya, Toshiki Kanamoto, Masasi Imai, and Atsushi Kurokawa

    Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)   1 - 6   2021年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Using receiver coils for dissipating heat of watch-type smart devices

    Shinsuke Kashiwazaki, Kodai Matsuhashi, Motoki Ishizaki, Toshiki Kanamoto, Koutaro Hachiya, Ryosuke Watanabe, and Atsushi Kurokawa

    Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)   1 - 6   2021年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Energy efficient RISC-V processor for portable sensor applications

    Kan Hatakeyama, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, Masashi Imai, Atsushi Kurokawa, and Toshiki Kanamoto

    Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)   1 - 4   2021年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • データシートを用いたトレンチ型IGBTの素子構造推定

    有馬大生, 宗形恒夫, 黒川敦, 今井雅, 金本俊幾

    情報処理学会 東北支部研究会   2020-6 ( 1-1 )   1 - 6   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ヒアラブルデバイスの熱回路モデルと解析

    松橋功大, 今井雅, 金本俊幾, 黒川敦

    情報処理学会 東北支部研究会   2020-6 ( 2-1 )   1 - 5   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • LSI•パッケージ•ボード協調設計に向けたオンチップインピーダンス抽出

    岡巧, 黒川敦, 今井雅, 金本俊幾

    情報処理学会 東北支部研究会   2020-6 ( 1-4 )   1 - 4   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • TOFカメラを用いた魚体重推定

    及川大樹, 野村哲哉, 丹波澄雄, 黒川敦, 今井雅, 金本俊幾

    情報処理学会 東北支部研究会   2020-6 ( 1-3 )   1 - 6   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 耐パルス性NiCr薄膜抵抗器のトリミング形状に対する熱的最適化

    有馬諒, 梶谷翔太, 伊澤敬太, 渡邊良祐, 青葉智寛, 黒川敦, 今井雅, 金本俊幾

    情報処理学会 東北支部研究会   2020-6 ( 1-2 )   1 - 5   2021年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Effective methods to promote heat dissipation of wrist wearables

    Kodai Matsuhashi, Koutaro Hachiya, Toshiki Kanamoto, Shinsuke Kashiwazaki, Kyosuke Kusumi, Atsushi Kurokawa

    IEICE Electronics Express   18.20210017   1 - 6   2021年02月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • A simple yet precise capacitance estimation method for on-chip power delivery network towards EMC analysis

    Toshiki Kanamoto, Koki Kasai, Kan Hatakeyama, Atsushi Kurokawa, Tomoyuki Nagase, and Masashi Imai

    IEICE Electronics Express   17 ( 4 )   20200198   2020年06月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Detecting resistive-open defects of TSVs in power distribution network of 3D-IC

    Koutaro Hachiya and Atsushi Kurokawa

    IEEE Workshop on Signal and Power Integrity (SPI)   1 - 4   2020年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Effective thermal modeling of a thin film snubber resistor for power modules

    Ryosuke Watanabe, Keita Izawa, Shota Kajiya, Daiki Tsunemoto, Koki Kasai, Atsushi Kurokawa, and Toshiki Kanamoto

    Nonlinear Theory and Its Applications, IEICE   E11-N ( 2 )   253 - 266   2020年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Testing Through Silicon Vias in Power Distribution Network of 3D-IC with Manufacturing Variability Cancellation

    Koutaro Hachiya and Atsushi Kurokawa

    Design, Automation & Test in Europe (DATE)   1 - 6   2020年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Thermal model and countermeasures for future smart glasses

    Kodai Matsuhashi, Toshiki Kanamoto, and Atsushi Kurokawa

    MDPI Sensors   20 ( 5 )   1 - 20   2020年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 3D-ICにおける電源TSVの抵抗性オープン故障の検出手法

    蜂屋孝太郎, 黒川敦

    電子情報通信学会 回路とシステム研究会 (CAS)   119 ( 423 )   37 - 41   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • LPWA通信規格LoRaを用いたセンサノードの低消費電力通信の評価

    畠山寛, 丹波澄雄, 黒川敦, 今井雅, 金本俊幾

    情報処理学会 東北支部研究会   2019-6 ( 2-2 )   1 - 4   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • シミュレーションベースオンチップ電源容量抽出手法

    葛西孝己, 今井雅, 黒川敦, 金本俊幾

    情報処理学会 東北支部研究会   2019-6 ( 1-1 )   1 - 6   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • パワーフィルム抵抗器のパルス破壊解析に向けた熱回路モデルの検討

    常本大貴, 梶谷翔太, 伊澤敬汰, 渡邊良祐, 葛西孝己, 黒川敦, 金本俊幾

    情報処理学会 東北支部研究会   2019-6 ( 1-2 )   1 - 5   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 耐パルス性薄膜抵抗器の有限要素法構造モデルの作成

    梶谷翔太, 伊澤敬汰, 常本大貴, 葛西孝己, 黒川敦, 金本俊幾, 渡邊良祐

    情報処理学会 東北支部研究会   2019-6 ( 1-3 )   1 - 3   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • メンテナンスフリーセンサーノード実現に向けた画像分析と消費電力低減の検討

    畑瀬拓実, 黒川敦, 今井雅, 金本俊幾

    情報処理学会 東北支部研究会   2019-6 ( 2-3 )   1 - 3   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • パワーモジュールの熱応力連成解析に向けたIGBT等価回路モデルの検討

    伊藤颯汰, 宗形恒夫, 黒川敦, 今井雅, 金本俊幾

    情報処理学会 東北支部研究会   2019-6 ( 2-1 )   1 - 4   2020年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Thermal placement on PCB of components including 3D ICs

    Yuuta Satomi, Koutaro Hachiya, Toshiki Kanamoto, Ryosuke Watanabe, and Atsushi Kurokawa

    IEICE Electronics Express   17 ( 3 )   20190737   2020年02月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Thermal resistance model and analysis for future smart glasses

    Kodai Matsuhashi, Toshiki Kanamoto, Atsushi Kurokawa

    International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT)   1 - 4   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • An efficient thermal model of thin film NiCr resistors considering pulse response

    Ryosuke Watanabe, Keita Izawa, Shota Kajiya, Taiki Tsunemoto, Koki Kasai, Atsushi Kurokawa, Toshiki Kanamoto

    Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)   164 - 167   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Thermal modeling and simulation of a smart wrist-worn wearable device

    Kodai Matsuhashi, Koutaro Hachiya, Toshiki Kanamoto, Masasi Imai, Atsushi Kurokawa

    Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)   138 - 143   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Comparison of diagnostic performance metrics for test point selection in analog circuits

    Koutaro Hachiya, Atsushi Kurokaw

    Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)   198 - 203   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Efficiency investigation of capacitors mounted on re-distribution layers for FOWLP

    Koki Kasai, Atsushi Kurokawa, Masashi Imai, Toshiki Kanamoto

    Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)   176 - 179   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Quantitative performance comparison of asynchronous and synchronous comparator

    Kyota Akimoto, Toshiki Kanamoto, Atsushi Kurokawa, Masashi Imai

    Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)   296 - 297   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Repeater coil connections for WPT system with wired three coils

    Motoki Ishizaki, Atsushi Kurokawa

    IEEE Global Conference on Consumer Electronics (GCCE)   1 - 2   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Variability cancellation to improve diagnostic performance of testing through silicon vias in power distribution network of 3D-IC

    Koutaro Hachiya, Atshushi Kurokawa

    IEEE International 3D Systems Integration Conference (3DIC)   1 - 6   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • ばらつきキャンセルによるTSVオープン故障検出の診断性能向上

    蜂屋孝太郎, 黒川敦

    DAシンポジウム 講演論文集   202 - 207   2019年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Advantages and characteristics of a WPT system with a resonant wired-2-coil repeater

    Motoki Ishizaki, Atsushi Kurokawa

    IEEE VTS Asia Pacific Wireless Communications Symposium (APWCS)   1 - 5   2019年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • アナログ回路のテスト箇所選択のための診断性能指標の比較

    蜂屋孝太郎, 寺岡陽, 黒川敦

    電子情報通信学会 回路とシステムワークショップ   228 - 233   2019年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • A method to improve diagnostic performance of testing through silicon vias in power distribution

    Koutaro Hachiya, Atshushi Kurokawa

    Taiwan and Japan Conference on Circuits and Systems (TJCAS)   1 - 1   2019年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Thermal placement optimization of packages with stacked chips

    Yuuta Satomi, Koutaro Hachiya, Atsushi Kurokawa

    Taiwan and Japan Conference on Circuits and Systems (TJCAS)   1 - 1   2019年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Long-distance transfer using wired-repeater WPT system

    Motoki Ishizaki, Atsushi Kurokawa

    Taiwan and Japan Conference on Circuits and Systems (TJCAS)   1 - 1   2019年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • A single-stage RISC-V processor to mitigate the von Neumann bottleneck

    Toshiki Kanamoto, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, Koki Kasai, Atsushi Kurokawa, Masashi Imai

    IEEE International Midwest Symposium on Circuits and Systems (MWCAS)   1085 - 1088   2019年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Open defect detection of through silicon vias for structural power integrity test of 3D-ICs

    Koutaro Hachiya, Atshushi Kurokawa

    IEEE Workshop on Signal and Power Integrity (SPI)   1 - 4   2019年06月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Power transfer system combining wireless resonators and wired three-coil repeater

    Motoki Ishizaki, Atsushi Kurokawa

    IEEE International Symposium on Circuits and Systems (ISCAS)   1 - 4   2019年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Thermal countermeasures of glass wearable devices

    Kodai Matsuhashi, Atsushi Kurokawa

    IEEE International Conference on Consumer Electronics - Taiwan (ICCE-TW)   1 - 2   2019年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Hardware Trojan insertion and detection in asynchronous circuits

    Ryosuke Kasai, Koutaro Hachiya, Toshiki Kanamoto, Masashi Imai, Atsushi Kurokawa

    IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC)   134 - 143   2019年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Neural network-based 3D IC interconnect capacitance extraction

    Ryosuke Kasai, Koutaro Hachiya, Toshiki Kanamoto, Masashi Imai, Atsushi Kurokawa

    International Conference on Communication Engineering and Technology (ICCET)   168 - 172   2019年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • リストウェアラブルデバイスのベルト放熱効果

    岡本慎太郎, 松橋功大, 今井雅, 金本俊幾, 黒川敦

    電気学会 全国大会 講演論文集   2019年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • メガネ型ウェアラブルデバイスの熱解析

    松橋功大, 黒川敦

    電気学会 全国大会 講演論文集   2019年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 機械学習を用いたLSIオンチップ配線容量抽出

    葛西亮介, 黒川敦

    電気学会 全国大会 講演論文集   2019年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 遅延ばらつきを考慮した遅延線設計による束データ方式非同期式回路の高性能化

    赤坂親一郎, 金本俊幾, 黒川敦, 今井雅

    情報処理学会東北支部研究会   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 束データ方式非同期式回路におけるハードウェアトロイ検出手法の評価

    稲葉光太郎, 金本俊幾, 黒川敦、今井雅

    情報処理学会東北支部研究会   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • AC解析を用いたオンチップ電源分配網の容量抽出手法

    葛西孝己, 黒川敦, 今井雅, 金本俊幾

    情報処理学会東北支部研究会   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ハードウェアトロイ無効化のための多重化システムの実装

    和島純也, 金本俊幾, 黒川敦, 今井雅

    情報処理学会東北支部研究会   2019年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Optimization of full-chip power distribution networks in 3D ICs

    Yuuta Satomi, Koutaro Hachiya, Toshiki Kanamoto, and Atsushi Kurokawa

    International Conference on Integrated Circuits and Microsystems (ICICM)   134 - 138   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Wireless power transfer system with long wired repeater

    Motoki Ishizaki and Atsushi Kurokawa

    International Conference on Integrated Circuits and Microsystems (ICICM)   221 - 225   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Thermal management for future wrist wearable devices

    Koudai Matsuhashi and Atsushi Kurokawa

    International Conference on Integrated Circuits and Microsystems (ICICM)   313 - 317   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Variability in thermo-mechanical stress applied to the bonding junction of power MOSFET

    Toshiki Kanamoto, Kazuaki Nomiya, Koki Kasai, Atsushi Kurokawa, Masashi Imai, and Tsuneo Munakata

    ACM/IEEE Workshop on Variability Modeling and Characterization (VMC)   1 - 2   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 2phaseハンドシェイクプロトコルに基づく束データ方式非同期式回路のレプリカ遅延線設計

    赤坂親一郎, 金本俊幾, 黒川敦, 今井雅

    DAシンポジウム 講演論文集   93 - 98   2018年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 受信者操作特性の良い電源ピン間抵抗測定によるTSVオープン故障の検出

    蜂屋孝太郎, 中野美幸, 檜物菜々美, 黒川敦, 畠中雄平

    DAシンポジウム 講演論文集   148 - 153   2018年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • FOWLPを用いたLSIにおける再配線層上キャパシタ及びオンチップ容量の最適化

    金本俊幾, 葛西孝己, 今井雅, 黒川敦, 橋本昌宜, 陳俊, 神藤始

    DAシンポジウム 講演論文集   88 - 92   2018年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Impact of distributing 3D stacked ICs on maximum temperature reduction

    Kaoru Furumi, Masashi Imai, and Atsushi Kurokawa

    Proc. of the Workshop on Synthesis And System Integration of Mixed Information Technologies   2018年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Power delivery network optimization of 3D ICs using multi-objective genetic algorithm

    Yuuta Satomi, Koutaro Hachiya, Masashi Imai, Toshiki Kanamoto, Kaoru Furumi, and Atsushi Kurokawa

    Proc. of the Workshop on Synthesis And System Integration of Mixed Information Technologies   2018年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • モバイル機器向け3D-ICの放熱促進方法

    松橋功大,古見薫,今井雅,岡本慎太郎,金本俊幾,里見優太,黒川敦

    電気学会 全国大会 講演論文集   2018年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ビザンチンフォールトトレラントシステムの構築と実用性評価

    金本俊幾, 葛西孝己, 今井雅, 黒川敦, 橋本昌宜, 陳俊, 神藤始

    情報処理学会 東北支部研究会   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 容量素子最適化のためのLSI・パッケージ・ボード電源網解析モデルの構築

    葛西孝己, 神藤始, 陳俊, 橋本昌宜, 今井雅, 黒川敦, 金本俊幾

    情報処理学会 東北支部研究会   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • PowerMOS デバイス熱設計のためのボンディングワイヤモデルの構築

    太田拓磨, 宗形恒夫, 今井雅, 黒川敦, 金本俊幾

    情報処理学会 東北支部研究会   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • CMOS 回路における消費エネルギー低減のための電源電圧と閾値電圧の調節手法

    成田全、葛西孝己、今井雅、黒川敦、金本俊幾

    情報処理学会 東北支部研究会   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • FPGA の信号伝搬遅延の温度依存性に関する研究

    江良祥耶, 葛西孝己, 今井雅, 黒川敦, 金本俊幾

    情報処理学会 東北支部研究会   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ランダム遅延素子を用いた耐タンパ非同期式回路の設計

    豊嶋太樹、金本俊幾、黒川敦、今井雅

    情報処理学会 東北支部研究会   2018年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 容量配置最適化に向けた15nm世代LSI・パッケージ・ボード電源網解析モデルの構築

    金本俊幾, 葛西孝己, 今井雅, 黒川敦, 橋本昌宜, 陳俊, 神藤始

    情報処理学会 DAシンポジウム 講演論文集   111 - 114   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ランダム遅延素子を用いた非同期式回路の耐タンパ性向上に関する一考察

    豊嶋太樹,金本俊幾,黒川敦,今井雅

    電気関係学会 東北支部連合大会 講演論文集   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Modeling and analysis for predicting clock skew of stacked chips

    Seira Kamiie, Toshiki Kanamoto, Masashi Imai, Shintaro Okamoto, and Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Thermal-aware tile-based block placement for 3D ICs

    Ryosuke Hatsuta, Masashi Imai, Toshiki Kanamoto, Shintaro Okamoto, and Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Reducing temperature by relocating 3D IC structures

    Kaoru Furumi, Shintaro Okamoto, Toshiki Kanamoto, Masashi Imai, and Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Optimizing power distribution network using multi-objective genetic algorithm

    Yuuta Satomi, Masashi Imai, Toshiki Kanamoto, Kaoru Furumi, and Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Method for mitigating heat of 3D stacked memory for small electronic devices

    Shintaro Okamoto, Kaoru Furumi, Masashi Imai, Toshiki Kanamoto, and Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Estimating walking state when holding object in hand by using neural network

    Ryo Sasaki, Toshiki Kanamoto, Masashi Imai, Kaoru Furumi, and Atsushi Kurokawa

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • A study on replica delay circuit of bundled-data transfer asynchronous circuits

    Shinichiro Akasaka, Toshiki Kanamoto, Atsushi Kurokawa, and Masashi Imai

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Hardware Trojan comparison between synchronous and asynchronous circuits

    Koutaro Inaba, Toshiki Kanamoto, Atsushi Kurokawa, and Masashi Imai

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   2017年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 容量素子最適化のためのLSI・パッケージ・ボード電源網解析モデルの構築

    葛西孝己,今井雅,黒川敦,金本俊幾,陳俊,橋本昌宜,神藤始

    電気関係学会 東北支部連合大会 講演論文集   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • PowerMOSデバイス熱設計のためのボンディングワイヤモデルの構築

    太田拓磨,葛西孝己,今井雅,黒川敦,金本俊幾,宗形恒夫

    電気関係学会 東北支部連合大会 講演論文集   2017年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • マルチコアシステムのマルコフモデルによる信頼性評価

    和島純也, 金本俊幾, 黒川敦, 今井雅

    電子情報通信学会 総合大会   D-10-3   2017年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 非同期式NoCルータへのハードウェアトロイ挿入に関する研究

    稲葉光太郎, 金本俊幾, 黒川敦, 今井雅

    電子情報通信学会 総合大会   A-7-1   2017年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Cooling architectures using thermal sidewalls, interchip plates, and bottom plate for 3D ICs

    Kaoru Furumi, Masashi Imai, and Atsushi Kurokawa

    International Symposium on Quality Electronic Design   2017年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 数百層積層メモリにおける各パラメータの温度への影響

    岡本慎太郎, 黒川敦

    情報処理学会 東北支部研究会   1 - 4   2017年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Random delay elements for tamper resistant asynchronous circuits based on 2-phase handshaking protocol

    Daiki Toyoshima, Tatsuya Ishikawa, Atsushi Kurokawa, and Masashi Imai

    Proc. of the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI)   113 - 118   2016年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • チップ外周熱伝導壁による三次元集積回路の温度低減

    古見薫, 新岡七奈子, 岡本慎太郎, 今井雅, 黒川敦

    電子情報通信学会 ソサエティ大会 講演論文集   A-6-4   2016年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • A study on byzantine fault tolerant systems using SCore cluster system software

    Takeru Nanao,Atsushi Kurokawa, and Masashi Imai

    Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   2A07   2016年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Recognition of wrist position while walking by using wearable triaxial accelerometers

    ◦Kaoru Furumi, Shintaro Mizoguchi, Nanako Niioka, Masashi Imai, and Atsushi Kurokawa

    Proc. of the International Technical Conference on Circuits/Systems, Computers and Communications   97 - 100   2016年07月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • マルチソースバッファを用いた積層チップのクロック分配方法

    新岡七奈子, 今井雅, 古見薫, 黒川敦

    電子情報通信学会 技術研究報告   116 ( 94 )   167 - 172   2016年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ランダム遅延素子を用いた耐タンパ非同期式パイプライン回路

    豊嶋太樹, 黒川敦, 今井雅

    電子情報通信学会 技術研究報告   116 ( 94 )   185 - 190   2016年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 三次元集積回路の熱解析

    古見薫, 今井雅, 新岡七奈子, 黒川 敦

    電子情報通信学会 技術研究報告   116 ( 94 )   173 - 178   2016年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • ウェアラブルセンサを用いた歩行時の腕の状態認識

    溝口真太郎,深瀬政秋,今井雅,古見薫,新岡七奈子,黒川敦

    情報処理学会 全国大会   3-447 - 3-448   2016年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 磁界共振結合給電における中継器の効果

    新岡七奈子, 盛文人, 古見薫, 深瀬政秋, 黒川敦

    電子情報通信学会 総合大会   2   2016年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 積層チップの電源分配のモデリングと電圧降下の解析

    古見薫, 深瀬政秋, 新岡七奈子, 黒川敦

    電子情報通信学会 総合大会   100   2016年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • TSVベース3D-ICの垂直方向配線間結合容量の効率的な抽出方法

    小林徹哉, 新岡七奈子, 古見薫, 黒川敦

    情報処理学会 東北支部研究会   A3-1   2016年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 3次元積層チップの熱解析と温度上昇抑制方法

    古見薫, 新岡七奈子, 黒川敦

    情報処理学会 東北支部研究会   A3-2   2016年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 磁気共鳴方式給電におけるコイル径・巻き数・導線径・角度の影響

    盛文人, 古見薫, 新岡七奈子, 三浦祐輝, 黒川敦

    情報処理学会 東北支部研究会   A3-3   2016年02月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Signal propagation delay model in vertically stacked chips

    Nanako Niioka, Masayuki Watanabe, Masaaki Fukase, Masashi Imai, and Atsushi Kurokawa

    IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences   E98-A ( 12 )   2614 - 2624   2015年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Clock skew reduction for stacked chips using multiple source buffers

    Nanako Niioka, Masashi Imai, Masa-aki Fukase, Yuuki Miura, Kaoru Furumi, and Atsushi Kurokawa

    the IEEE International Symposium on Communications and Information Technologies (ISCIT)   2614 - 2624   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Performance Comparison between Asynchronous Self-timed Circuits and Synchronous Circuits under Ultra Low Voltage Environment

    田近 龍平, 黒川 敦, 今井 雅

    電気関係学会東北支部連合大会 IEEE Student Session   2015年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Peak Current Reduction Method of Digital Bandpass Filter using Asynchronous MOUSETRAP Pipeline Circuits

    石川 達也, 黒川 敦, 今井 雅

    電気関係学会東北支部連合大会 IEEE Student Session   2015年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Thermal analysis with varying physical parameters in 3D ICs

    Kaoru Furumi, Masa-aki Fukase, Masashi Imai, Yuuki Miura, Nanako Niioka, Atsushi Kurokawa

    Proceedings of Annual Conference of Electronics, Information and Systems Society, IEEJ   1688 - 1689   2015年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • 三次元集積回路の伝搬遅延とクロストークノイズのモデリング

    新岡七奈子, 深瀬政秋, 今井雅, 古見薫, 三浦祐輝, 黒川 敦

    電子情報通信学会 回路とシステムワークショップ 講演論文集   2015年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • インダクタンス逆行列を用いた三次元集積回路の貫通シリコンビア間結合容量抽出

    小林徹哉, 新岡七奈子, 深瀬政秋, 黒川敦

    電気学会 論文誌C   135 ( 7 )   2015年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Structure optimization for timing in nano scale FinFET

    Toshiki Kanamoto, Takeichiro Akamine, Hiroaki Ammo, Takashi Hasegawa, Kouhei Shimizu, Yoshinori Kumano, Masaharu Kawano, Atsushi Kurokawa

    IEICE Electronics Express   12 ( 9 )   20150297   2015年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Scan test of latch-based asynchronous pipeline circuits under 2-phase handshaking protocol

    Kyohei Terayama, Atsushi Kurokawa, Masashi Imai

    Workshop on Synthesis And System Integration of Mixed Information Technologies   128 - 133   2015年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • ウィンドウベースL 逆行列によるTSV 間容量抽出

    小林徹哉, 今井雅, 深瀬政秋, 新岡七奈子, 黒川敦

    電子情報通信学会 総合大会 講演論文集   2015年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 高効率遅延セルの実測による性能評価

    星誠, 深瀬政秋, 今井雅, 黒川敦

    電子情報通信学会 総合大会 講演論文集   2015年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • An effective model for evaluating vertical propagation delay in TSV-based 3-D ICs

    Masayuki Watanabe, Masa-aki Fukase, Masashi Imai, Nanako Niioka, Tetsuya Kobayashi, Rosely Karel, Atsushi Kurokawa

    International Symposium on Quality Electronic Design   519 - 523   2015年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Modeling of substrate contacts in TSV-based 3D ICs

    Masayuki Watanabe, Masa-aki Fukase, Masashi Imai, Nanako Niioka, Tetsuya Kobayashi, Rosely Karel, Atsushi Kurokawa

    IEEE International Conference on 3D System Integration   2014年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Effect of substrate contacts on reducing crosstalk noise between TSVs

    Masayuki Watanabe, Rosely Karel, Nanako Niioka, Tetsuya Kobayashi, Masa-aki Fukase, Masashi Imai, Atsushi Kurokawa

    IEEE Asia Pacific Conference on Circuit and Systems   763 - 766   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Impact of on-chip interconnects on vertical signal propagation in 3D ICs

    Nanako Niioka, Masayuki Watanabe, Rosely Karel, Tetsuya Kobayashi, Masashi Imai, Masa-aki Fukase, Atsushi Kurokawa

    IEEE Asia Pacific Conference on Circuit and Systems   607 - 610   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • ユビキタスプロセッサの評価

    深瀬政秋,一戸康平,黒川敦

    電気学会 電子・情報・システム部門大会 講演論文集   741 - 753   2014年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 高速通信非同期式回路における多入力調停回路の構成方式に関する考察

    今井雅,黒川敦

    電気学会 電子・情報・システム部門大会 講演論文集   771 - 776   2014年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 2Phaseハンドシェイクプロトコルに基づく非同期式回路のスキャンテスト

    寺山恭平,今井雅,黒川敦

    電気学会 電子・情報・システム部門大会 講演論文集   765 - 770   2014年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • インダクタンス逆行列を用いた三次元集積回路の貫通シリコンビア間結合容量抽出

    小林徹哉,新岡七奈子,深瀬政秋,黒川敦

    電気学会 電子・情報・システム部門大会 講演論文集   759 - 764   2014年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)   共著区分:共著  

  • Modeling and Analysis of Vertical Interconnects in 3D ICs

    Nanako Niioka, Masashi Imai, Masa-aki Fukase, Rosely Karel, Tetsuya Kobayashi, and Atsushi Kurokawa

    Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   1A03   2014年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • Substrate Contact Effect on TSV-to-TSV Coupling

    Rosely Karel, Masa-aki Fukase, Masashi Imai, Nanako Niioka, Tetsuya Kobayashi, and Atsushi Kurokawa

    Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session)   1A04   2014年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • An effective model of the overshooting effect for multiple-input gates in nanometer technologies

    Li Ding, Zhangcai Huang, Atsushi Kurokawa, Jing Wang, and Yasuaki Inoue

    IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences   E97-A ( 5 )   1059 - 1074   2014年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 16nm FinFET回路のパワーゲーティングスイッチの解析

    渡邊眞之, 星誠, 小林徹哉, 黒川敦

    電子情報通信学会 総合大会 講演論文集   A-3-3   2014年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • TSVベース3D-ICのシグナルインテグリティ解析

    小林徹哉, 星誠, 渡邊眞之, 黒川敦

    情報処理学会 全国大会 講演論文集   1_111 - 1_112   2014年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • ゲーテドクロックシステムへのNBTI起因デバイス劣化のインパクト

    黒川 敦, 星誠, 渡邊眞之

    電気学会 論文誌C   134 ( 3 )   355 - 361   2014年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Design and measurement of MOSFET TEG chips for developing reliability aware EDA software

    三浦克介, 黒川敦, 宮崎浩, 中前幸治

    ナノテスティング学会 ナノテスティングシンポジウム 講演論文集   2013年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • Analysis of Corner Conditions in PVT Variations and Reliability Degradations

    Atsushi Kurokawa, Masayuki Watanabe, Makoto Hoshi, Tetsuya Kobayashi

    Proceedings Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI)   2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 次世代LSI用微細CMOSFETの特性解析

    ◦星誠, 渡邊眞之, 小林徹哉, 深瀬政秋, 黒川 敦

    電気学会 電子・情報・システム部門大会   1787 - 1788   2013年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • Reasonable Circuit Analysis Considering Comprehensively Reliability and Variability

    Atsushi Kurokawa, Masayuki Watanabe, Makoto Hoshi, and Masa-aki Fukase

    Proc. of International Symposium on Communications and Information Technologies (ISCIT)   2013年09月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 微細LSIにおけるリーク電流が回路遅延に与える影響

    星誠, 渡邊眞之, 小林徹哉, 深瀬政秋, 黒川 敦

    電気学会 電子・情報・システム部門大会   858 - 863   2013年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 可変クロック方式の開発

    小田桐舞央, 今井雅, 黒川敦, 深瀬政秋

    電気関係学会 東北支部連合大会   2013年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • An analytical model of the overshooting effect for multiple-input gates in nanometer technologies

    Li Ding, Zhangcai Huang, Jing Wang, Atsushi Kurokawa, Yasuaki Inoue

    Proceedings IEEE International Symposium on Circuits and Systems   1712 - 1715   2013年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 内蔵型クロック回路の開発

    宮森博也, 今井雅, 黒川敦, 深瀬政秋

    電子情報通信学会 総合大会   2013年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • LSI信頼性の統合解析方法

    渡邊眞之, 星誠, 黒川敦

    情報処理学会 全国大会   2013年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • クロックゲーティングによる信頼性起因クロックスキューとその対策

    星誠, 渡邊眞之, 黒川敦

    情報処理学会 全国大会   2013年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • Fabless時代のDFMを問う~設計と製造をいかに補完し合うか~ (招待講演)

    黒川敦

    システム・デザイン・フォーラム   2012年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:単著  

  • Development of a next generation ubiquitous processor chip

    Masa-aki Fukase, Kohei Ichinohe, Kazuki Narita, Tatsuya Takaki, Naomichi Mimura, Tomoaki Sato, Atsushi Kurokawa

    ECTI Transactions on Computer and Information Technology   6 ( 2 )   120 - 127   2012年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Modeling the overshooting effect of multi-input gate in nanometer technologies

    Li Ding, Zhangcai Huang, Minglu Jiang, Atsushi Kurokawa, Yasuaki Inoue

    Journal of Circuits, Systems and Computers, World Scientific   21 ( 6 )   1240012.1 - 1240012.13   2012年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • ユビキタスプロセッサのトレードオフ設計

    一戸康平,三村直道,成田一貴,高木竜哉,佐藤友暁,黒川 敦,深瀬政秋

    電気学会 電子・情報・システム部門大会   2012年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • ゲーテドクロックシステムへのNBTI起因デバイス劣化のインパクト

    星誠,渡邊眞之,黒川敦

    電気学会 電子・情報・システム部門大会   2012年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 低コスト・高品質な遅延セルの開発

    高木竜哉,黒川 敦,深瀬政秋

    電気学会 電子・情報・システム部門大会   2012年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • エレクトロマイグレーションを考慮したLSI設計手法

    蝦名啓佑, 星誠, 渡邊眞之, 黒川敦

    電気学会 電子・情報・システム部門大会   1887 - 1888   2012年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • ユビキタスプロセッサチップの最適設計

    三村直道, 高木竜哉, 成田一貴, 一戸康平, 黒川敦, 佐藤友暁, 深瀬政秋

    電気学会 電子・情報・システム部門大会   1775 - 1776   2012年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • インバータ遅延ばらつきのモデリング

    森健, 渡邊眞之, 星誠, 黒川敦

    電気学会 電子・情報・システム部門大会   1885 - 1886   2012年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 低リーク電力な新型DFF回路

    渡邊眞之,星誠,黒川敦

    電気関係学会東北支部連合大会   2012年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 各種論理セルのNBTIによる遅延劣化解析

    星誠,渡邊眞之,黒川敦

    電気関係学会東北支部連合大会   2012年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 微細CMOSタイミング設計の新しいコーナー削減方法

    小谷 憲(ルネサスエレクトロニクス),増田弘生(千弘技術士事務所),成木保文(メンター),奥村隆昌(富士通セミコンダクター),城間 誠(パナソニックデバイスシステムテクノ),金本俊幾(ルネサスエレクトロニクス), 古川且洋(ジーダット), 山中俊輝(リコー),小笠原泰弘(産総研),佐藤高史(京大),橋本昌宜(阪大),黒川敦(弘前大),田中正和(パナソニック)

    情報処理学会 DAシンポジウム   2012年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 微細プロセス(22nm世代)における配線コーナー設計手法の検討

    城間誠(パナソニックデバイスシステムテクノ),山中俊輝(リコー),小笠原泰弘(産総研),金本俊幾(ルネサスエレクトロニクス),成木保文(メンター),奥村隆昌(富士通セミコンダクター),増田弘生(千弘技術士事務所),古川且洋(ジーダット),佐藤高史(京大),橋本昌宜(阪大),黒川敦(弘前大),田中正和(パナソニック)

    情報処理学会 DAシンポジウム   2012年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • フリップフロップのNBTI信頼性性能解析

    渡邊 眞之, 星 誠 (弘前大), 宮崎 浩, 小野 信任, 蜂屋 孝太郎 (ジーダット), 黒川 敦

    電子情報通信学会 第25回 回路とシステムワークショップ 論文集   325 - 330   2012年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • VLSIプロセッサチップのIP利用設計

    三村直道, 高木竜哉, 深瀬政秋, 黒川敦

    4校学術交流会   2012年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(大学,研究機関等紀要)   共著区分:共著  

  • Efficient delay cells for wave pipelined multifunctional unit

    Atsushi Kurokawa, Tatsuya Takaki, and Masa-aki Fukase

    Proceedings Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI 2012)   121 - 126   2012年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Development of a next generation ubiquitous processor chip

    Masa-aki Fukase, Harunobu Uchiumi, Kazuki Narita, Tatsuya Takaki, Naomichi Mimura, Kohei Ichinohe, Tomoaki Sato, and Atsushi Kurokawa

    Proceedings International Symposium on Intelligent Signal Processing and Communications Systems (ISPACS)   1 - 4   2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Modeling the overshooting effect of multi-input gate in nanometer technologies

    Li Ding, Zhangcai Huang, Minglu Jiang, Atsushi Kurokawa, Yasuaki Inoue

    IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2011)   1 - 4   2011年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • ウェーブ化MFUの最適設計

    高木竜哉,内海晴信,石原拓美,深瀬政秋,黒川敦,佐藤友暁

    電気関係学会東北支部連合大会   105   2011年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • タイミング解析技術の変遷と今後 (招待講演)

    黒川敦

    IEEE Circuits and Systems Society Fukuoka Chapter   2011年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:単著  

  • A non-iterative method for calculating the effective capacitance of CMOS gates with interconnect load effect

    Minglu Jiang, Zhangcai Huang, Atsushi Kurokawa, Qiang Li, Bin Lin, Yasuaki Inoue

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E94-A ( 5 )   1201 - 1209   2011年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Simple analytical formulas for estimating IR-drops in an early design stage

    Kazuyuki Ooya, Yuji Takashima, Atsushi Kurokawa

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E93-A ( 9 )   1585 - 1593   2010年09月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Explicit effective capacitance model for CMOS gate with interconnect load

    Minglu Jiang, Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue

    電子情報通信学会 第23回 回路とシステム軽井沢ワークショップ 論文集   257 - 262   2010年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • バッテリー管理ICの現状と今後  (招待講演)

    黒川敦

    電気学会 電子回路研究会   2010年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:単著  

  • Impact of self-heating in wire interconnection on timing

    Toshiki Kanamoto, Takaaki Okumura, Katsuhiro Furukawa, Hiroshi Takafuji, Atsushi Kurokawa, Koutaro Hachiya, Tsuyoshi Sakata, Masakazu Tanaka, Hidenari Nakashima, Hiroo Masuda, Takashi Sato, Masanori Hashimoto

    IEICE Transactions on Electronics   E93-C ( 3 )   388 - 392   2010年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Modeling the overshooting effect for CMOS inverter delay analysis in nanometer technologies

    Zhangcai Huang, Atsushi Kurokawa, Masanori Hashimoto, Takashi Sato, Minglu Jiang, Yasuaki Inoue

    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems   29 ( 2 )   250 - 260   2010年02月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • An approach for reducing leakage current variation due to manufacturing variability

    Tsuyoshi Sakata, Takaaki Okumura, Atsushi Kurokawa, Hidenari Nakashima, Hiroo Masuda, Takashi Sato, Masanori Hashimoto, Koutaro Hachiya, Katsuhiro Furukawa, Masakazu Tanaka, Hiroshi Takafuji, Toshiki Kanamoto

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E92-A ( 12 )   3016 - 3023   2009年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Practical redundant-via insertion method considering manufacturing variability and reliability

    Yuji Takashima, Kazuyuki Ooya, Atsushi Kurokawa

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E92-A ( 12 )   2962 - 2970   2009年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Accurate method for calculating the effective capacitance with RC loads based on the Thevenin model

    Minglu Jiang, Zhangcai Huang, Atsushi Kurokawa, Shuai Fang, Yasuaki Inoue

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E92-A ( 10 )   2531 - 2539   2009年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Interconnect modeling: A physical design perspective (Invited)

    Atsushi Kurokawa, Takashi Sato, Toshiki Kanamoto, Masanori Hashimoto

    IEEE Transactions on Electron Devices   56 ( 9 )   1840 - 1851   2009年09月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 製造ばらつきに起因するリーク電流変動の低減アプローチ

    佐方剛, 黒川敦, 奥村隆昌, 中島英斉, 増田弘生, 佐藤高史, 橋本昌宜, 蜂屋孝太郎, 古川且洋, 田中正和, 高藤浩資, 金本俊幾

    電子情報通信学会 第22回 回路とシステム軽井沢ワークショップ 論文集   444 - 449   2009年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 製造ばらつきと信頼性を考慮した現実的な冗長ビア挿入方法

    高嶋裕司, 大谷一幸, 黒川敦

    電子情報通信学会 第22回 回路とシステム軽井沢ワークショップ 論文集   468 - 473   2009年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 設計初期段階で電源電圧降下を見積もるための解析式

    大谷一幸, 高嶋裕司, 黒川敦

    電子情報通信学会 第22回 回路とシステム軽井沢ワークショップ 論文集   462 - 467   2009年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • Improvement in computational accuracy of output transition time variation considering threshold voltage variations

    Takaaki Okumura, Atsushi Kurokawa, Hiroo Masuda, Toshiki Kanamoto, Masanori Hashimoto, Hiroshi Takafuji, Hidenari Nakashima, Nobuto Ono, Tsuyoshi Sakata, Takashi Sato

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E92-A ( 4 )   990 - 997   2009年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • An advanced effective capacitance model for calculating gate delay considering input waveform effect

    Minglu Jiang, Zhangcai Huang, Atsushi Kurokawa, Na Li, Yasuaki Inoue

    Chinese Journal of Electronics   17 ( 4 )   633 - 639   2008年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 動的と静的電源解析を組み合わせた高効率な設計手法

    大谷一幸, 高嶋裕司, 黒川敦

    情報処理学会 DAシンポジウム 2008 論文集   235 - 240   2008年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • チップ・サイズ縮小のための電源パッドの決定方法

    黒川敦, 高嶋裕司, 大谷一幸, 伊部哲也

    情報処理学会 DAシンポジウム 2008 論文集   247 - 252   2008年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • チップ内電源電圧変動分布の解析とタイミング設計指針

    高嶋裕司, 大谷一幸, 黒川敦

    情報処理学会 DAシンポジウム 2008 論文集   241 - 246   2008年08月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • A novel SRAM structure for leakage power suppression in 45nm technology

    Sui Huang, Zhangcai Huang, Atsushi Kurokawa, Yasuaki Inoue

    Proceedings 2008 International Conference on Communications, Circuits and Systems (ICCCAS)   1070 - 1074   2008年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • An advanced model for calculating the effective capacitance considering input waveform effect

    Minglu Jiang, Zhangcai Huang, Atsushi Kurokawa, Yasuaki Inoue

    Proceedings 2008 International Conference on Communications, Circuits and Systems (ICCCAS)   1088 - 1092   2008年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Prevention in a chip of EMI noise caused by X’tal oscillator

    Atsushi Kurokawa, Hiroshi Fujita, Tetsuya Ibe

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E91-A ( 4 )   1077 - 1083   2008年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • An advanced effective capacitance model considering input waveform effect

    Minglu Jiang, Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue

    電子情報通信学会 第21回 回路とシステム軽井沢ワークショップ 論文集   433 - 438   2008年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • Vth ばらつきに拠る出力遷移時間ばらつきの解析

    奥村隆昌, 黒川敦, 増田弘生, 金本俊幾, 佐藤高史, 橋本昌宜, 高藤浩資, 中島英斉, 小野信任

    電子情報通信学会 第21回 回路とシステム軽井沢ワークショップ 論文集   299 - 304   2008年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • チップ内システマティックばらつきと回路スキュー特性相関

    増田弘生, 大川眞一, 黄田剛, 奥村隆昌, 黒川敦, 金本俊幾, 佐藤高史, 橋本昌宜, 中島英斉, 高藤浩資, 小野信任

    電子情報通信学会 第21回 回路とシステム軽井沢ワークショップ 論文集   617 - 622   2008年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • A 45nm stable SRAM structure for ultra low leakage power

    Sui Huang, Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue

    電子情報通信学会 第21回 回路とシステム軽井沢ワークショップ 論文集   635 - 640   2008年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • Modeling the overshooting effect for CMOS inverter in nanometer technologies

    Zhangcai Huang, Hong Yu, Atsushi Kurokawa, Yasuaki

    Proceedings Asia South Pacific Design Automation Conference (ASP-DAC 2007)   565 - 570   2007年06月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 45-65nmノードにおける遅延ばらつき特性の環境温度依存性

    中林太美世, 黒川敦, 佐藤高史, 橋本昌宜, 増田弘生

    電子情報通信学会 第20回 回路とシステム軽井沢ワークショップ 論文集   691 - 696   2007年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 信頼性保証のためのNBTIのモデリングとシミュレーション方法

    黒川敦, 大嶋潔, 木村安行, 宮下博之, 日隈裕洋, 北爪和俊, 伊部哲也

    電子情報通信学会 第20回 回路とシステム軽井沢ワークショップ 論文集   13 - 18   2007年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • クリスタル発振回路に起因するEMIノイズの解析とチップ内対策

    黒川敦, 藤田浩志, 伊部哲也, 渡辺徹

    電子情報通信学会 第20回 回路とシステム軽井沢ワークショップ 論文集   475 - 480   2007年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • バラツキのモデリング技術 (招待講演)

    黒川敦

    電子情報技術産業協会 EDS Fair システム・デザイン・フォーラム 2007   2007年01月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:単著  

  • Impact of intrinsic parasitic extraction errors on timing and noise estimation

    Toshiki Kanamoto, Shigekiyo Akutsu, Tamiyo Nakabayashi, Takahiro Ichinomiya, Koutaro Hachiya, Atsushi Kurokawa, Hiroshi Ishikawa, Sakae Muromoto, Hiroyuki Kobayashi, Masanori Hashimoto

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E89-A ( 12 )   3666 - 3670   2006年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • A gate delay model focusing on current fluctuation over wide-range of process and environmental variability

    Ken'ichi Shinkai, Masanori Hashimoto, Atsushi Kurokawa, Takao Onoye

    Proceedings of ACM/IEEE International Workshop on Timing Issues (ICCAD 2006)   47 - 53   2006年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Calculating the effective capacitance for interconnect loads based on Thevenin model

    Shuai Fang, Zhangcai Huang, Atsushi Kurokawa, and Yasuaki

    Proceedings 2006 International Conference on Communications, Circuits and Systems (ICCCAS)   2474 - 2477   2006年06月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Modeling the influence of input-to-output coupling capacitance on CMOS inverter delay

    Zhangcai Huang, Atsushi Kurokawa, Yun Yang, Hong Yu, Yasuaki Inoue

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E89-A ( 4 )   840 - 846   2006年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Determination of interconnect structural parameters for best- and worst-case delays

    Atsushi Kurokawa, Hiroo Masuda, Junko Fujii, Toshinori Inoshita, Akira Kasebe, Zhangcai Huang, Yasuaki Inoue

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E89-A ( 4 )   856 - 864   2006年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Formula-based method for capacitance extraction of interconnects with dummy fills

    Atsushi Kurokawa, Akira Kasebe, Toshiki Kanamoto, Yun Yang, Zhangcai Huang, Yasuaki Inoue, Hiroo Masuda

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E89-A ( 4 )   847 - 855   2006年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 電流変動に着目した広範囲な製造・環境ばらつき対応ゲート遅延モデル

    新開健一, 橋本昌宜, 黒川敦, 尾上孝雄

    電子情報通信学会 第19回 回路とシステム軽井沢ワークショップ 論文集   559 - 564   2006年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • Calculating the effective capacitance for interconnect loads based on Thevenin model

    Shuai Fang, Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue

    電子情報通信学会 第19回 回路とシステム軽井沢ワークショップ 論文集   1 - 4   2006年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • A gate delay model focusing on current fluctuation over wide-range of process and environmental variability

    Ken'ichi Shinkai, Masanori Hashimoto, Atsushi Kurokawa, Takao Onoye

    Proceedings of ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU 2006)   59 - 64   2006年02月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Gate-current model for advanced MOSFET technologies implemented into HiSIM2

    R.Inagaki, N.Sadachika, K.Konno, D.Navarro, K.Machida, Q.Ngo, C.Y.Yang, A.Kurokawa, T.Ezaki, H.J.Mattausch, M.Miura-Mattausch, Y.Inoue

    Gate-current model for advanced MOSFET technologies implemented into HiSIM2   43 - 46   2006年01月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Efficient large scale integration power/ground network optimization based on grid genetic algorithm

    Yun Yang, Atsushi Kurokawa, Yasuaki Inoue, Wenqing Zhao

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E88-A ( 12 )   3412 - 3420   2005年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Efficient dummy filling methods to reduce interconnect capacitance and number of dummy metal fills

    Atsushi Kurokawa, Toshiki Kanamoto, Tetsuya Ibe, Akira Kasebe, Chang Wei Fong, Testuro Kage, Yasuaki Inoue, Hiroo Masuda

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E88-A ( 12 )   3471 - 3478   2005年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Second-order polynomial expressions for on-chip interconnect capacitance

    Atsushi Kurokawa, Masanori Hashimoto, Akira Kasebe, Zhangcai Huang, Yun Yang, Yasuaki Inoue, Ryosuke Inagaki, Hiroo Masuda

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E88-A ( 12 )   3453 - 3462   2005年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Modeling the effective capacitance of interconnect loads for predicting CMOS gate slew

    Zhangcai Huang, Atsushi Kurokawa, Jun Pan, Yasuaki Inoue

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E88-A ( 12 )   3367 - 3374   2005年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • A practical approach for efficiently extracting interconnect capacitances with floating dummy fills

    Atsushi Kurokawa, Toshiki Kanamoto, Akira Kasebe, Yasuaki Inoue, Hiroo Masuda

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E88-A ( 11 )   3180 - 3187   2005年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • A novel model for computing the effective capacitance of CMOS gates with interconnect loads

    Zhangcai Huang, Atsushi Kurokawa, Yasuaki Inoue, Junfa Mao

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E88-A ( 10 )   2562 - 2569   2005年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Challenge: variability characterization and modeling for 65- to 90-nm processes

    Hiroo Masuda, Shinichi Okawa, Atsushi Kurokawa, Masakazu Aoki

    Proceedings 2005 IEEE Custom Integrated Circuits Conference (CICC 2005) (Invited)   593 - 599   2005年09月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 増大するデバイス特性ばらつきの評価とそのモデル化

    増田弘生, 黒川敦, 大川眞一, 青木正和

    (財)生産技術研究奨励会 特別研究会 低消費電力・高速LSI技術懇談会   2005年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • Modeling the effective capacitance of interconnect loads for predicting CMOS gate slew

    Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue

    電子情報通信学会技術研究報告, CAS2005-31   31 - 36   2005年09月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • Modeling the overshooting effect in the submicron CMOS Inverters

    Zhangcai Huang, Atsushi Kurokawa, Yasuaki Inoue

    Proceedings 2005 International Conference on Communications, Circuits and Systems (ICCCAS)   1191 - 1195   2005年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Effective capacitance for gate delay with RC loads

    Zhang-chai Huang, Atsushi Kurokawa, Yasuaki Inoue

    Proceedings 2005 IEEE International Symposium on Circuits and Systems (ISCAS 2005)   2795 - 2798   2005年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • プロセスと環境のばらつきを考慮した設計技術

    黒川敦

    電子情報通信学会 第18回 回路とシステム軽井沢ワークショップ (招待講演)   545 - 550   2005年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:単著  

  • ダミー・フィルを考慮した解析式ベース配線容量抽出手法

    黒川敦, 加瀬部彰, 楊贇, 黄章財, 井上靖秋, 増田弘生

    電子情報通信学会 第18回 回路とシステム軽井沢ワークショップ 論文集   19 - 24   2005年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 遅延ベスト/ワーストとなる配線構造パラメータ

    黒川敦, 増田弘生, 藤井淳子, 井下順功, 加瀬部彰, 黄章財, 井上靖秋

    電子情報通信学会 第18回 回路とシステム軽井沢ワークショップ 論文集   25 - 30   2005年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • Modeling the effective capacitance of interconnect loads for CMOS gates

    Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue

    電子情報通信学会 第18回 回路とシステム(軽井沢)ワークショップ 論文集   7 - 12   2005年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • Modeling the influence of input-to-output coupling capacitance on the CMOS inverter delay

    Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue

    電子情報通信学会 第18回 回路とシステム軽井沢ワークショップ 論文集   13 - 18   2005年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • The efficient grid generic algorithm used in VLSI static power/ground network optimization

    Yun Yang, Atsushi Kurokawa, and Yasuaki Inoue

    電子情報通信学会 第18回 回路とシステム軽井沢ワークショップ 論文集   37 - 42   2005年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 先端SoC設計における物理配線技術に関する研究

    黒川敦

    早大学位記番号:第3922号, 文部科学省報告番号:甲1992号   2005年03月

     詳細を見る

    記述言語:日本語   掲載種別:学位論文(博士)   共著区分:単著  

  • Capacitance and yield evaluations using a 90-nm process technology based on the dense power-ground interconnect architecture

    Atsushi Kurokawa, Masaharu Yamamoto, Nobuto Ono, Tetsuro Kage, Yasuaki Inoue, Hiroo Masuda

    Proceedings 6th International Symposium on Quality Electronic Design (ISQED 2005)   153 - 158   2005年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Dummy filling methods for reducing interconnect capacitance and number of fills

    Atsushi Kurokawa, Toshiki Kanamoto, Tetsuya Ibe, Akira Kasebe, Chang Wei Fong, Tetsuro Kage, Yasuaki Inoue, Hiroo Masuda

    Proceedings 6th International Symposium on Quality Electronic Design (ISQED 2005)   586 - 591   2005年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • 90nmテクノロジ以降のSoCにおける物理設計技術

    黒川敦

    情報処理学会 VLSIシステム研究会 関西支部 支部大会 (特別招待講演)   127 - 132   2004年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:単著  

  • An algorithm for the effective capacitance of CMOS gate with interconnect load

    Zhang-chai Huang, Atsushi Kurokawa, Yasuaki Inoue, Jun-fa Mao

    Proceedings International Symposium on Nonlinear Theory and its Applications (NOLTA’04)   103 - 106   2004年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Efficient capacitance extraction method for interconnects with dummy fills

    Atsushi Kurokawa, Toshiki Kanamoto, Akira Kasebe, Yasuaki Inoue, Hiroo Masuda

    Proceedings 2004 IEEE Custom Integrated Circuits Conference (CICC 2004)   485 - 488   2004年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • DAC2004報告 =物理設計技術=

    黒川敦

    電子情報通信学会技術研究報告, VLD2004-36 (招待講演)   28 - 32   2004年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:単著  

  • 遅延計算およびシグナルインテグリティを考慮した配線寄生容量抽出精度評価

    金本俊幾, 阿久津滋聖, 中林太美世, 一宮敬弘, 蜂屋孝太郎, 石川博, 室本栄, 小林宏行, 橋本昌宜, 黒川敦

    情報処理学会 DAシンポジウム 2004 論文集   265 - 270   2004年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 物理設計完全性のための新配線アーキテクチャ

    黒川敦, 小野信任, 鹿毛哲郎, 井上靖秋, 増田弘生

    情報処理学会論文誌   45 ( 5 )   1251 - 1260   2004年05月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • DEPOGIT: dense power-ground interconnect architecture for physical design integrity

    Atsushi Kurokawa, Nobuto Ono, Tetsuro Kage, Hiroo Masuda

    Proceedings Asia South Pacific Design Automation Conference (ASP-DAC 2004)   517 - 522   2004年01月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Approximation formula approach for the efficient extraction of on-chip mutual inductances

    Atsushi Kurokawa, Takashi Sato, Hiroo Masuda

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E86-A ( 12 )   2933 - 2941   2003年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • 物理設計完全性を目指した配線方式

    黒川敦, 小野信任, 鹿毛哲郎, 井上靖秋, 増田弘生

    電子情報通信学会技術研究報告, ICD2003-193 (特別招待講演)   11 - 16   2003年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • RLC抽出技術の最新動向

    黒川敦, 金本俊幾, 南文裕, 鹿毛哲郎, 増田弘生

    電子情報通信学会 第7回システムLSIワークショップ (招待講演)   2003年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • Efficient extraction of the interconnect inductances for VLSI design

    Atsushi Kurokawa

    Proceedings 2003 5th International Conference on ASIC (ASICON 2003) (Invited)   974 - 979   2003年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:単著  

  • DAC2003報告 =フィジカルデザイン=

    黒川敦, 小澤時典

    電子情報通信学会技術研究報告 (招待講演)   103 ( 337 )   43 - 48   2003年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • VLSI電源解析システムPowerSpectiveの開発

    岩井二郎, 町田顕, 水田千益, 南文裕, 黒川敦, 鹿毛哲郎, 増田弘生, 蜂屋孝太郎

    情報処理学会 DAシンポジウム 2003 論文集   49 - 54   2003年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • オンチップ・インダクタンスとは? =モデリングと抽出技術=

    黒川敦, 金本俊幾, 佐藤高史

    情報処理学会 DAシンポジウム 2003 論文集   1 - 6   2003年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • VLSI 設計・信号品質解析におけるインダクタンスの影響とその重要性

    佐藤高史, 金本俊幾, 黒川敦

    情報処理学会 DAシンポジウム 2003 論文集   7 - 12   2003年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • Physical Design Integrityのための新配線アーキテクチャ

    小野信任, 黒川敦, 鹿毛哲郎, 増田弘生

    情報処理学会 DAシンポジウム 2003 論文集   67 - 72   2003年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 遅延計算におけるインダクタンスを考慮すべき配線の統計的選別手法

    金本俊幾, 佐藤高史, 黒川敦, 川上善之, 岡宏規, 北浦智靖, 小林宏行, 橋本昌宜

    情報処理学会論文誌   44 ( 5 )   1301 - 1310   2003年05月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • Fast on-chip inductance extraction of VLSI including angled interconnects

    Atsushi Kurokawa, Koutaro Hachiya, Takashi Sato, Kazuya Tokumasu, Hiroo Masuda

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E86-A ( 4 )   841 - 845   2003年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   共著区分:共著  

  • LCR抽出・解析設計技術

    黒川敦

    電子情報通信学会 総合大会 論文集 (招待講演)   TA-1-5   2003年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:単著  

  • Approximate formulae approach for efficient inductance extraction

    Atsushi Kurokawa, Takashi Sato, Hiroo Masuda

    Proceedings Asia South Pacific Design Automation Conference (ASP-DAC 2003)   143 - 148   2003年01月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • Accurate prediction of the impact of on-chip inductance on interconnect delay using electrical and physical parameter-based RSF

    Takashi Sato, Toshiki Kanamoto, Atsushi Kurokawa, Yoshiyuki Kawakami, Hiroki Oka, Tomoharu Kitaura, Atsuhiko Ikeuchi, Hiroyuki Kobayashi, Masanori Hashimoto

    Proceedings Asia South Pacific Design Automation Conference (ASP-DAC 2003)   149 - 155   2003年01月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   共著区分:共著  

  • インダクタンスに起因する配線遅延変動の統計的予測手法

    佐藤高史, 金本俊幾, 黒川敦, 川上善之, 岡宏規, 北浦智靖, 池内敦彦, 小林宏行, 橋本昌宜

    電子情報通信学会 ソサエティ大会 論文集 (チュートリアル)   TA-2-4   2002年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 0.1um級LSIの遅延計算における寄生インダクタンスを考慮すべき配線の統計的選別

    金本俊幾, 佐藤高史, 黒川敦, 川上善之, 岡宏規, 北浦智靖, 池内敦彦, 小林宏行, 橋本昌宜

    情報処理学会 DAシンポジウム 2002 論文集   149 - 154   2002年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 動的電源ノイズ解析のための電源グリッドモデル抽出

    蜂屋孝太郎, 黒川敦, 佐藤高史, 南文裕, 増田弘生

    情報処理学会 DAシンポジウム 2002 論文集   193 - 198   2002年07月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 斜め配線を含むVLSIの高速オンチップ・インダクタンス抽出

    黒川敦, 蜂屋孝太郎, 佐藤高史, 徳升一也, 増田弘生

    電子情報通信学会 第15回 回路とシステム(軽井沢)ワークショップ 論文集   487 - 492   2002年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • インダクタンスが配線遅延に及ぼす影響の定量的評価方法

    佐藤高史, 金本俊幾, 黒川敦, 川上善之, 岡宏規, 北浦智靖, 小林宏行, 橋本昌宜

    電子情報通信学会 第15回 回路とシステム(軽井沢)ワークショップ 論文集   493 - 498   2002年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 階層型IC信頼性シミュレータIRISES

    黒川敦, 小松富士彦, 北爪和俊, 田中淳一, 畔上秀夫

    電子情報通信学会 総合大会 講演論文集   A-3-4   1998年03月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:共著  

  • 多機能回路特性解析ツール

    黒川敦

    電子情報通信学会 秋季大会 講演論文集   A-61 - A-61   1994年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:単著  

  • レイアウト検証用ジョブ制御システム

    黒川敦

    情報処理学会 第49回全国大会 講演論文集   7L-3   1994年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   共著区分:単著  

▼全件表示

書籍等出版物

  • 電子情報工学実験II

    今井 雅、金本 俊幾、黒川 敦、渡邊 良祐、豊田 淳平( 担当: 共著)

    弘前大学出版会  2023年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論

  • 電子情報工学実験II

    今井 雅、金本 俊幾、黒川 敦、渡邊 良祐、豊田 淳平( 担当: 共著)

    弘前大学出版会  2022年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論

  • 電子情報工学実験II

    今井 雅、金本 俊幾、黒川 敦、渡邊 良祐、豊田 淳平( 担当: 共著)

    弘前大学出版会  2021年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論

  • 電子情報工学実験II

    今井 雅、金本 俊幾、黒川 敦、渡邊 良祐、豊田 淳平( 担当: 共著)

    弘前大学出版会  2020年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論

  • 電子情報工学実験II

    今井 雅、金本 俊幾、黒川 敦、渡邊 良祐、豊田 淳平( 担当: 共著)

    弘前大学出版会  2019年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論

  • 電子情報工学実験II

    今井 雅、金本 俊幾、黒川 敦、渡邊 良祐、豊田 淳平( 担当: 共著)

    弘前大学出版会  2018年9月 

     詳細を見る

    記述言語:日本語 著書種別:教科書・概説・概論

  • ウェスト&ハリス CMOS VLSI 回路設計 基礎編

    監訳:宇佐美公良, 池田誠, 小林淑和  訳:宇佐美公良, 池田誠, 平本俊郎, 佐藤高史, 石原亨, 黒川敦, 小林淑和, 三堂哲寿( 担当: 共訳)

    丸善出版  2014年1月 

     詳細を見る

    記述言語:日本語 著書種別:学術書

  • LSI配線の解析と合成

    小野寺秀俊監訳, 小野信人, 川上善之, 栗山茂, 黒川敦, 佐藤高史, 渋谷利行, 手塚健司, 蜂屋孝太郎, 南文裕, 安島裕恵, 山口龍一, 米田高志( 担当: 共訳)

    培風館  2003年12月 

     詳細を見る

    記述言語:日本語 著書種別:学術書

▼全件表示

総説・短報・翻訳等

  • EDA用語辞典

    (主査)黒川敦, 他

    Tech-On! EDA Online   2008年12月

     詳細を見る

    記述言語:日本語   掲載種別:記事・総説・解説・論説等(その他)   出版者・発行元:日経BP社   共著区分:共著  

  • 寄生成分

    黒川敦

    NIKKEI MICRODEVICES   64 - 65   2005年8月

     詳細を見る

    記述言語:日本語   掲載種別:記事・総説・解説・論説等(商業誌、新聞、ウェブメディア)   出版者・発行元:日経BP社   共著区分:単著  

受賞

  • 電気学会 東北支部 優秀学生賞

    2024年03月

  • 電子情報通信学会 東北支部 優秀学生表彰

    2024年03月

  • 弘前大学 学生表彰

    2023年03月

  • 電子情報通信学会 東北支部 優秀学生表彰

    2023年03月

  • 電気学会 東北支部 優秀学生賞

    2023年03月

  • IEEE CEDA All Japan Joint Chapter SASIMI Young Researcher Award

    2022年10月

  • 電気学会 東北支部 優秀学生賞

    2022年03月

  • 情報処理学会 東北支部 学生奨励賞

    2021年03月

  • 電子情報通信学会 東北支部 優秀学生表彰

    2021年03月

  • SASIMI Outstanding Paper Award

    2019年10月

  • 電子情報通信学会 東北支部 優秀学生表彰

    2017年03月

  • 弘前大学 成績優秀学生表彰

    2016年08月

  • 電気学会 東北支部 優秀論文賞

    2016年04月

  • IEEE STUDENT AWARDS - The Best Paper Prize -

    2015年11月

  • 電気学会 電子・情報・システム部門大会 奨励賞

    2015年08月

  • IEEE STUDENT AWARDS - The Encouragement Prize -

    2014年12月

  • IEEE STUDENT AWARDS - The Encouragement Prize -

    2014年12月

  • 電子情報通信学会 東北支部 優秀学生表彰

    2014年03月

  • 感謝状(IEICE英文誌Aの編集委員)

    2013年09月

  • 感謝状(IEICE英文誌Aの査読委員)

    2013年09月

  • 電気学会 電子・情報・システム部門大会 優秀ポスター賞 『インバータ遅延ばらつきのモデリング』

    2012年09月

  • 電気学会 電子・情報・システム部門大会 優秀ポスター賞 『エレクトロマイグレーションを考慮したLSI設計手法』

    2012年09月

  • 電気学会 電子・情報・システム部門大会 奨励賞 『低コスト・高品質な遅延セルの開発』

    2012年09月

  • MWSCAS 2011, Best Paper Award

    2011年08月

▼全件表示

担当授業科目(学内)

  • 2023年度,卒業研究(電子情報工学科),専門教育科目(学部)

  • 2023年度,科学技術英語,専門教育科目(学部)

  • 2023年度,通信工学,専門教育科目(学部)

  • 2023年度,電子情報工学実験II,専門教育科目(学部)

  • 2023年度,電子情報工学最先端,専門教育科目(学部)

  • 2023年度,電子情報工学概論,専門教育科目(学部)

  • 2023年度,電子情報工学演習A,専門教育科目(学部)

  • 2023年度,電子情報工学演習B,専門教育科目(学部)

  • 2023年度,電気回路応用,専門教育科目(学部)

  • 2023年度,電磁気学III,専門教育科目(学部)

  • 2023年度,理工学特別演習B,修士課程・博士前期課程

  • 2023年度,理工学特別演習A,修士課程・博士前期課程

  • 2023年度,理工学特別研究B,修士課程・博士前期課程

  • 2023年度,理工学特別研究A,修士課程・博士前期課程

  • 2023年度,集積回路利用技術特論,修士課程・博士前期課程

  • 2023年度,電子情報工学特別研究B,修士課程・博士前期課程

  • 2023年度,電子情報工学特別研究A,修士課程・博士前期課程

  • 2023年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2023年度,システムLSI物理設計特論,博士一貫課程・博士後期課程

  • 2023年度,物理学の世界-物理学入門-,教養教育科目

  • 2022年度,物理学の基礎Ⅰ(B),21世紀教育科目

  • 2022年度,卒業研究(電子情報工学科),専門教育科目(学部)

  • 2022年度,科学技術英語,専門教育科目(学部)

  • 2022年度,通信工学,専門教育科目(学部)

  • 2022年度,電子情報工学実験II,専門教育科目(学部)

  • 2022年度,電子情報工学最先端,専門教育科目(学部)

  • 2022年度,電子情報工学概論,専門教育科目(学部)

  • 2022年度,電子情報工学演習A,専門教育科目(学部)

  • 2022年度,電子情報工学演習B,専門教育科目(学部)

  • 2022年度,電気回路応用,専門教育科目(学部)

  • 2022年度,電磁気学III,専門教育科目(学部)

  • 2022年度,集積回路利用技術特論,修士課程・博士前期課程

  • 2022年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2022年度,キャリア形成の発展-社会と私-【S】,教養教育科目

  • 2022年度,基礎ゼミナール【S電子】,教養教育科目

  • 2022年度,物理学の世界-物理学入門-,教養教育科目

  • 2021年度,卒業研究,専門教育科目(学部)

  • 2021年度,科学技術英語,専門教育科目(学部)

  • 2021年度,通信工学,専門教育科目(学部)

  • 2021年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2021年度,電子情報工学最先端,専門教育科目(学部)

  • 2021年度,電子情報工学概論,専門教育科目(学部)

  • 2021年度,電子情報工学演習A,専門教育科目(学部)

  • 2021年度,電子情報工学演習B,専門教育科目(学部)

  • 2021年度,電気回路応用,専門教育科目(学部)

  • 2021年度,電磁気学Ⅲ,専門教育科目(学部)

  • 2021年度,集積回路利用技術特論,修士課程・博士前期課程

  • 2021年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2021年度,キャリア形成の基礎,教養教育科目

  • 2021年度,物理学の世界-物理学入門-,教養教育科目

  • 2020年度,物理学の基礎Ⅰ(B),21世紀教育科目

  • 2020年度,科学技術英語,専門教育科目(学部)

  • 2020年度,通信工学,専門教育科目(学部)

  • 2020年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2020年度,電子情報工学最先端,専門教育科目(学部)

  • 2020年度,電子情報工学概論,専門教育科目(学部)

  • 2020年度,電子情報工学演習A,専門教育科目(学部)

  • 2020年度,電子情報工学演習B,専門教育科目(学部)

  • 2020年度,電気回路応用,専門教育科目(学部)

  • 2020年度,電磁気学Ⅲ,専門教育科目(学部)

  • 2020年度,集積回路利用技術特論,修士課程・博士前期課程

  • 2020年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2020年度,キャリア形成の基礎,教養教育科目

  • 2020年度,キャリア形成の基礎A,教養教育科目

  • 2020年度,物理学の世界-物理学入門-,教養教育科目

  • 2019年度,物理学の基礎Ⅰ(B),21世紀教育科目

  • 2019年度,卒業研究,専門教育科目(学部)

  • 2019年度,科学技術英語,専門教育科目(学部)

  • 2019年度,通信工学,専門教育科目(学部)

  • 2019年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2019年度,電子情報工学最先端,専門教育科目(学部)

  • 2019年度,電子情報工学概論,専門教育科目(学部)

  • 2019年度,電子情報工学演習A,専門教育科目(学部)

  • 2019年度,電子情報工学演習B,専門教育科目(学部)

  • 2019年度,電気回路応用,専門教育科目(学部)

  • 2019年度,電磁気学Ⅲ,専門教育科目(学部)

  • 2019年度,理工学特別研究A,修士課程・博士前期課程

  • 2019年度,理工学特別研究B,修士課程・博士前期課程

  • 2019年度,電子情報工学特別研究A,修士課程・博士前期課程

  • 2019年度,電子情報工学特別研究B,修士課程・博士前期課程

  • 2019年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2019年度,高品質LSI設計技術特論,修士課程・博士前期課程

  • 2019年度,基礎ゼミナール,教養教育科目

  • 2019年度,物理学の世界-物理学入門-,教養教育科目

  • 2018年度,物理学の基礎Ⅰ(B),21世紀教育科目

  • 2018年度,科学技術英語,専門教育科目(学部)

  • 2018年度,通信工学,専門教育科目(学部)

  • 2018年度,通信工学A,専門教育科目(学部)

  • 2018年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2018年度,電子情報工学最先端,専門教育科目(学部)

  • 2018年度,電子情報工学概論,専門教育科目(学部)

  • 2018年度,電子情報工学演習A,専門教育科目(学部)

  • 2018年度,電子情報工学演習B,専門教育科目(学部)

  • 2018年度,電子情報工学演習B,専門教育科目(学部)

  • 2018年度,電子情報工学英語演習,専門教育科目(学部)

  • 2018年度,電気回路応用,専門教育科目(学部)

  • 2018年度,電磁気学Ⅱ,専門教育科目(学部)

  • 2018年度,電磁気学Ⅲ,専門教育科目(学部)

  • 2018年度,理工学特別研究A,修士課程・博士前期課程

  • 2018年度,理工学特別研究A,修士課程・博士前期課程

  • 2018年度,理工学特別研究B,修士課程・博士前期課程

  • 2018年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2018年度,高品質LSI設計技術特論,修士課程・博士前期課程

  • 2018年度,物理学の世界-物理学入門-,教養教育科目

  • 2017年度,物理学の基礎Ⅰ(B),21世紀教育科目

  • 2017年度,卒業研究,専門教育科目(学部)

  • 2017年度,電子情報工学全体講義,専門教育科目(学部)

  • 2017年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2017年度,電子情報工学概論,専門教育科目(学部)

  • 2017年度,電子情報工学演習A,専門教育科目(学部)

  • 2017年度,電子情報工学演習B,専門教育科目(学部)

  • 2017年度,電子情報工学英語演習,専門教育科目(学部)

  • 2017年度,電磁気学Ⅲ,専門教育科目(学部)

  • 2017年度,理工学特別研究A,修士課程・博士前期課程

  • 2017年度,理工学特別研究B,修士課程・博士前期課程

  • 2017年度,電子情報工学特別研究A,修士課程・博士前期課程

  • 2017年度,電子情報工学特別研究B,修士課程・博士前期課程

  • 2017年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2017年度,高品質LSI設計技術特論,修士課程・博士前期課程

  • 2017年度,物理学の世界-物理学入門-,教養教育科目

  • 2016年度,物理学の基礎Ⅰ(B),21世紀教育科目

  • 2016年度,ハードウェア設計演習,専門教育科目(学部)

  • 2016年度,卒業研究,専門教育科目(学部)

  • 2016年度,電子情報工学全体講義,専門教育科目(学部)

  • 2016年度,電子情報工学実験Ⅰ,専門教育科目(学部)

  • 2016年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2016年度,電子情報工学実験Ⅲ,専門教育科目(学部)

  • 2016年度,電子情報工学実験Ⅳ,専門教育科目(学部)

  • 2016年度,電子情報工学概論,専門教育科目(学部)

  • 2016年度,電子情報工学演習A,専門教育科目(学部)

  • 2016年度,電子情報工学演習B,専門教育科目(学部)

  • 2016年度,電子情報工学英語演習,専門教育科目(学部)

  • 2016年度,電気回路,専門教育科目(学部)

  • 2016年度,電磁気学Ⅱ,専門教育科目(学部)

  • 2016年度,物理学の世界-物理学入門-,教養教育科目

  • 2015年度,基礎ゼミナール,21世紀教育科目

  • 2015年度,物理学の基礎Ⅰ(B),21世紀教育科目

  • 2015年度,ハードウェア設計演習,専門教育科目(学部)

  • 2015年度,卒業研究,専門教育科目(学部)

  • 2015年度,電子情報工学全体講義,専門教育科目(学部)

  • 2015年度,電子情報工学大系,専門教育科目(学部)

  • 2015年度,電子情報工学実験Ⅰ,専門教育科目(学部)

  • 2015年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2015年度,電子情報工学実験Ⅲ,専門教育科目(学部)

  • 2015年度,電子情報工学実験Ⅳ,専門教育科目(学部)

  • 2015年度,電子情報工学演習A,専門教育科目(学部)

  • 2015年度,電子情報工学演習B,専門教育科目(学部)

  • 2015年度,電子情報工学英語演習,専門教育科目(学部)

  • 2015年度,電気回路,専門教育科目(学部)

  • 2015年度,電磁気学Ⅱ,専門教育科目(学部)

  • 2015年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2014年度,物理学の基礎Ⅰ(B),21世紀教育科目

  • 2014年度,ハードウェア設計演習,専門教育科目(学部)

  • 2014年度,卒業研究,専門教育科目(学部)

  • 2014年度,電子情報工学全体講義,専門教育科目(学部)

  • 2014年度,電子情報工学大系,専門教育科目(学部)

  • 2014年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2014年度,電子情報工学演習A,専門教育科目(学部)

  • 2014年度,電子情報工学演習B,専門教育科目(学部)

  • 2014年度,電子情報工学英語演習,専門教育科目(学部)

  • 2014年度,電気回路,専門教育科目(学部)

  • 2014年度,電磁気学Ⅱ,専門教育科目(学部)

  • 2014年度,知的財産論,修士課程・博士前期課程

  • 2014年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2014年度,高品質LSI設計技術特論,修士課程・博士前期課程

  • 2013年度,物理学の基礎Ⅰ(B),21世紀教育科目

  • 2013年度,ハードウェア設計演習,専門教育科目(学部)

  • 2013年度,卒業研究,専門教育科目(学部)

  • 2013年度,電子情報工学全体講義,専門教育科目(学部)

  • 2013年度,電子情報工学大系,専門教育科目(学部)

  • 2013年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2013年度,電子情報工学演習A,専門教育科目(学部)

  • 2013年度,電子情報工学演習B,専門教育科目(学部)

  • 2013年度,電子情報工学英語演習,専門教育科目(学部)

  • 2013年度,電気回路,専門教育科目(学部)

  • 2013年度,電磁気学Ⅱ,専門教育科目(学部)

  • 2013年度,知的財産論,修士課程・博士前期課程

  • 2013年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2013年度,高品質LSI設計技術特論,修士課程・博士前期課程

  • 2012年度,基礎ゼミナール,21世紀教育科目

  • 2012年度,物理学の基礎Ⅱ(A),21世紀教育科目

  • 2012年度,ハードウェア設計演習,専門教育科目(学部)

  • 2012年度,卒業研究,専門教育科目(学部)

  • 2012年度,電子情報工学全体講義,専門教育科目(学部)

  • 2012年度,電子情報工学大系,専門教育科目(学部)

  • 2012年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2012年度,電子情報工学演習A,専門教育科目(学部)

  • 2012年度,電子情報工学演習B,専門教育科目(学部)

  • 2012年度,電子情報工学英語演習,専門教育科目(学部)

  • 2012年度,電気回路,専門教育科目(学部)

  • 2012年度,電気回路Ⅱ,専門教育科目(学部)

  • 2012年度,電磁気学Ⅱ,専門教育科目(学部)

  • 2012年度,知的財産論,修士課程・博士前期課程

  • 2012年度,知的財産論,修士課程・博士前期課程

  • 2012年度,電子情報工学特別講義,修士課程・博士前期課程

  • 2012年度,高品質LSI設計技術特論,修士課程・博士前期課程

  • 2011年度,ハードウェア設計,専門教育科目(学部)

  • 2011年度,ハードウェア設計演習,専門教育科目(学部)

  • 2011年度,卒業研究,専門教育科目(学部)

  • 2011年度,電子情報工学大系,専門教育科目(学部)

  • 2011年度,電子情報工学実験Ⅱ,専門教育科目(学部)

  • 2011年度,電子情報工学研修Ⅰ,専門教育科目(学部)

  • 2011年度,電子情報工学研修Ⅱ,専門教育科目(学部)

  • 2011年度,電気回路,専門教育科目(学部)

  • 2011年度,電気回路Ⅱ,専門教育科目(学部)

  • 2011年度,電子情報工学特別講義,修士課程・博士前期課程

▼全件表示

社会貢献活動

  • Workshop on Synthesis And System Integration of Mixed Information technologies, Technical Program Committee

    2023年04月01日 - 2024年03月31日

  • Workshop on Synthesis And System Integration of Mixed Information technologies, Technical Program Committee

    2022年04月 - 2023年03月

  • Workshop on Synthesis And System Integration of Mixed Information technologies, Technical Program Committee

    2021年04月 - 2022年03月

  • Workshop on Synthesis And System Integration of Mixed Information technologies, Technical Program Committee

    2020年04月 - 2021年03月

  • ICICM, Technical Program Committee Member

    2020年04月 - 2021年03月

  • Taiwan and Japan Conference on Circuits and Systems, Session Co-Chair

    2019年08月

  • Workshop on Synthesis And System Integration of Mixed Information technologies, Technical Program Committee

    2019年04月 - 2020年03月

  • Taiwan and Japan Conference on Circuits and Systems, Technical Program Committee

    2019年04月 - 2019年08月

  • International Conference on Integrated Circuits and Microsystems, Technical Committee

    2018年06月 - 現在

  • 平成29年電気関係学会東北支部連合大会 現地実行委員長

    2017年04月 - 2018年03月

  • 電子情報通信学会東北支部学術講演会開催

    2016年12月

  • IEICE-VLD/CAS/MSS/SIPの共催研究会 セッション座長

    2016年07月

  • Workshop on Synthesis And System Integration of Mixed Information technologies, Technical Program Committee

    2016年04月 - 2017年03月

  • 電子情報通信学会東北支部学術講演会開催

    2016年01月

  • 電子情報通信学会 回路とシステムワークショップ セッション座長

    2015年08月

  • 模擬講義

    2015年06月

  • 平成26年電気学会 電子・情報・システム部門大会 セッション オーガナイザ・座長

    2014年09月

  • 電子情報通信学会 回路とシステムワークショップ セッション座長

    2014年08月

  • 東北地区大学電気教員懇談会

    2014年08月

  • The IEEE APCCAS 2014, the 12th of the biennial Asia Pacific Conference on Circuits and Systems, Review Committee Member

    2014年05月 - 2014年11月

  • Workshop on Synthesis And System Integration of Mixed Information technologies, Technical Program Committee

    2014年04月 - 2015年03月

  • IEICE-ICD/VLD/IE、IPSJ-SLDMの共催研究会 セッション座長

    2013年10月

  • 平成25年電気学会 電子・情報・システム部門大会 セッション オーガナイザ・座長

    2013年09月

  • 東北地区大学電気教員懇談会

    2013年08月

  • Workshop on Synthesis And System Integration of Mixed Information technologies, Technical Program Committee

    2013年04月 - 2014年03月

  • FMアップルウェーブ「りんご王国こうぎょくカレッジ」

    2012年09月

  • 平成24年電気学会 電子・情報・システム部門大会 セッション オーガナイザ・座長

    2012年09月

  • 東北地区大学電気教員懇談会

    2012年08月

  • 電子情報通信学会 回路とシステムワークショップ セッション座長

    2012年07月

  • Workshop on Synthesis And System Integration of Mixed Information technologies, Technical Program Committee

    2012年04月 - 2013年03月

  • 平成24年電気学会 電子・情報・システム部門大会 開催校幹事

    2012年01月 - 2012年12月

  • オープンキャンパス 模擬講義

    2011年10月

  • Asia South Pacific Design Automation Conference (ASP-DAC) Session co-chair

    2004年01月

  • Asia South Pacific Design Automation Conference (ASP-DAC) Technical Program Committee

    2004年01月

▼全件表示

メディア報道

  • 電気学会 全国大会 セッション座長

    2019年03月

  • 情報処理学会 東北支部研究会 セッション座長

    2017年02月